Advanced Processor Technology
Publications
- Conference article › Research › Peer-reviewed
- Published
MU6-G. A new design to achieve mainframe performance from a mini-sized computer
Edwards, D. B. G., Knowles, A. E. & Woods, J. V., 6 May 1980, In: Proceedings - International Symposium on Computer Architecture. p. 161-167 7 p.Research output: Contribution to journal › Conference article › peer-review
- Software › Research
- Published
SpiNNaker 1M
Furber, S., Rhodes, O., Garside, J. & Lester, D., 1 Sep 2019Research output: Non-textual form › Software
- Working paper › Research
- Published
Fuse: Accurate Multiplexing of Hardware Performance Counters Across Executions
Neill, R., Drebes, A. & Pop, A., 2017, 25 p.Research output: Working paper
- Commissioned report › Research › Not peer-reviewed
- Published
Low Power Processing: Use Only the Power Needed to Get the Job Done
Goodacre, J., Dec 2008, ARM Ltd.Research output: Book/Report › Commissioned report
- Published
System Level Benchmarking Analysis of the Cortex-A9 MPCore
Goodacre, J. & Mijat, R., 2009, ARM Ltd.Research output: Book/Report › Commissioned report
- Published
Technology Preview: The ARMv8 Architecture
Goodacre, J., Nov 2011, ARM Ltd. 10 p.Research output: Book/Report › Commissioned report
- Scholarly edition › Research › Peer-reviewed
- Published
Proceedings of the Second International Workshop on FPGAs for Software Programmers (FSP 2015)
Koch, D., Hannig, F. & Ziener, D., 1 Sep 2015, 2 ed. http://arxiv.org/html/1508.06320.Research output: Book/Report › Scholarly edition › peer-review
- Anthology › Research › Peer-reviewed
- Published
Proceedings of the First International Workshop on FPGAs for Software Programmers (FSP 2014)
Koch, D., Hannig, F. & Ziener, D., Sep 2014, 2014 ed. No publisher name. (FSP 2014)Research output: Book/Report › Anthology › peer-review
- Book › Research › Peer-reviewed
- Published
Micropipelined ARM
Furber, S. B., Day, P., Garside, J. D., Paver, N. C. & Woods, J. V., 1994, Elsevier BV. 10 p.Research output: Book/Report › Book › peer-review
- Published
Proceedings of the First International Workshop on FPGAs for Software Programmers (FSP 2014)
Koch, D., Hannig, F. & Ziener, D., 1 Sep 2014, 1 ed. http://arxiv.org/html/1408.4423. (Proceedings of the International Workshop on FPGAs for Software Programmers (FSP))Research output: Book/Report › Book › peer-review
- Book › Research › Not peer-reviewed
- Published
El algoritmo de sintonización simple de controladores difusos: (ASSCD)
Gomez-Ramirez, E., Cortes Rios, J. C., Ortiz-De-La-Vega, H. A., Melin-Olmeda, E. P. & Castillo-Lopez, O., Sep 2017, Mexico: De La Salle Ediciones. 116 p.Research output: Book/Report › Book
- Published
Flash Memory Integration: Performance and Energy Considerations
Boukhobza, J. & Olivier, P., 6 Mar 2017, ISTE Press Ltd. 266 p.Research output: Book/Report › Book
- Published
FPGAs for Software Programmers
Koch, D. (ed.), Hannig, F. (ed.) & Ziener, D. (ed.), 2016, Springer Nature. 331 p.Research output: Book/Report › Book
- Published
SpiNNaker: A spiking neural network architecture
Furber, S. (ed.) & Bogdan, P. (ed.), 31 Mar 2020, Boston-Delpht: Now Publishers Inc. 350 p.Research output: Book/Report › Book
- Patent › Research
- Published
3D Interconected Die Stack
Goodacre, A., 13 May 2020, Patent No. GB2565310A, 8 Aug 2017Research output: Patent
- Published
Multi-processing system with coherent and non-coherent modes
Goodacre, A. J. & Piry, F. C. M., 16 Jun 2009, Patent No. 7549024Research output: Patent
- Published
Outputting dynamic local content on mobile devices
Goodacre, A. J., Merrick, B. & Hitchman, D., 29 Dec 2009, Patent No. 7640491Research output: Patent
- Published
Power control within a coherent multi-processing system
Goodacre, A., Pruvost, J-A., Piry, F., Lataille, N. & Grandou, G., 30 Mar 2004Research output: Patent
- Published
System and method for real-time screening and routing of telephone calls
Goodacre, AJ. & Prisock, JH., 13 Oct 1998, Patent No. 5822416Research output: Patent
- Published
Virtualisation supporting guest operating systems using memory protection units
Goodacre, A. J., 3 Dec 2015, Patent No. US20150347052 A1, Priority date 5 Feb 2013Research output: Patent
- Abstract › Research › Peer-reviewed
- Accepted/In press
A Self-Compilation Flow Demo on FOS – the FPGA Operating System
Pham, K., Vaishnav, A., Powell, J. & Koch, D., 2020, (Accepted/In press).Research output: Contribution to conference › Abstract › peer-review
- Published
Event-based computation: Unsupervised elementary motion decomposition
Bogdan, P., Pineda Garcia, G., Davidson, S., Hopkins, M., James, R. & Furber, S., 2019, p. 20-23. 4 p.Research output: Contribution to conference › Abstract › peer-review
- Poster › Research › Peer-reviewed
- Accepted/In press
Adaptive Transient Leakage-Aware Linearised Model for Thermal Analysis of 3-D ICs
Pavlidis, V. & Mihajlovic, M., 8 Nov 2018, (Accepted/In press).Research output: Contribution to conference › Poster › peer-review
- Accepted/In press
Adaptive Word Reordering for Low-Power Inter-Chip Communication
Maragkoudaki, E., Mroszczyk, P. & Pavlidis, V., 8 Nov 2018, (Accepted/In press).Research output: Contribution to conference › Poster › peer-review
- Published
Live demonstration: Dynamic voltage and frequency scaling for neuromorphic many-core systems
Höppner, S., Yan, Y., Vogginger, B., Dixius, A., Partzsch, J., Joshi, P., Neumärker, F., Hartmann, S., Schiefer, S., Scholze, S., Ellguth, G., Cederstroem, L., Eberlein, M., Mayr, C., Temple, S., Plana, L. A., Garside, J., Davidson, S., Lester, D. & Furber, S., 2017.Research output: Contribution to conference › Poster › peer-review
- Published
Live demonstration: Ethernet communication linking two large-scale neuromorphic systems
Partzsch, J., Mayr, C., Vogginger, B., Schuffny, R., Rast, A., Plana, L. & Furber, S., 2013.Research output: Contribution to conference › Poster › peer-review
- Published
Live demonstration: Multiplexing AER asynchronous channels over LVDS links with flow-control and clock-correction for scalable neuromorphic systems
Yousefzadeh, A., Jabłoński, M., Iakymchuk, T., Linares-Barranco, A., Rosado, A., Plana, L. A., Serrano-Gotarredona, T., Furber, S. & Linares-Barranco, B., 2017.Research output: Contribution to conference › Poster › peer-review
- Accepted/In press
Metal Stack and Partitioning Exploration for Monolithic 3D ICs
Sketopoulos, N., Sotiriou, C. & Pavlidis, V., 11 May 2020, (Accepted/In press).Research output: Contribution to conference › Poster › peer-review
- Accepted/In press
PVT-Aware Sensing and Voltage Scaling for Energy Efficient FPGAs
Maragos, K., Lentaris, G., Soudris, D. & Pavlidis, V., 15 Nov 2018, (Accepted/In press).Research output: Contribution to conference › Poster › peer-review
- Accepted/In press
STA Compatible Backend Design Flow for TSV-based 3-D ICs
Kalargaris, C., Chen, Y-C. & Pavlidis, V., 5 Dec 2017, (Accepted/In press). 6 p.Research output: Contribution to conference › Poster › peer-review
- Accepted/In press
Zero-skew Clock Network Synthesis for Monolithic 3D ICs with Minimum Wirelength
Wang, W., Pavlidis, V. & Cheng, Y., 12 May 2020, (Accepted/In press).Research output: Contribution to conference › Poster › peer-review
- Poster › Research › Not peer-reviewed
- Published
Live Demonstration: Handwritten Digit Recognition Using Spiking Deep Belief Networks on SpiNNaker
Stromatias, E., Neil, D., Galluppi, F., Pfeiffer, M., Liu, S-C. & Furber, S., 2015.Research output: Contribution to conference › Poster
- Paper › Research › Peer-reviewed
- Published
ACTiCLOUD: Enabling the Next Generation of Cloud Applications
Goodacre, A., 17 Jul 2017.Research output: Contribution to conference › Paper › peer-review
- Accepted/In press
Analysis of the Usage Models of System Memory Management Unit in Accelerator-attached Translation Units
Paraskevas, K., Iordanou, K., Luján, M. & Goodacre, J., 2020, (Accepted/In press). 11 p.Research output: Contribution to conference › Paper › peer-review
- Published
Approximate Fixed-Point Elementary Function Accelerator for the SpiNNaker-2 Neuromorphic Chip
Mikaitis, M., Lester, D., Shang, D., Furber, S., Liu, G., Garside, J., Scholze, S., Hoppner, S. & Dixius, A., 17 Sep 2018, p. 37-44. 8 p.Research output: Contribution to conference › Paper › peer-review
- Published
BITMAN: A tool and API for FPGA bitstream manipulations
Pham, K., Horta, E. & Koch, D., 2017.Research output: Contribution to conference › Paper › peer-review
- Accepted/In press
Challenges and proposals for enabling dynamic heterogeneous execution of Big Data frameworks
Xekalaki, M., Fumero Alfonso, J. & Kotselidis, C-E., 31 Oct 2018, (Accepted/In press).Research output: Contribution to conference › Paper › peer-review
- Accepted/In press
Cost Modeling and Analysis of TSV and Contactless 3D-ICs
Jiang, M., Papistas, I. & Pavlidis, V., 13 May 2020, (Accepted/In press).Research output: Contribution to conference › Paper › peer-review
- Accepted/In press
Cross-ISA Debugging in Meta-circular VMs
Kotselidis, C., Nisbet, A., Zakkak, F. & Foutris, N., 6 Oct 2017, (Accepted/In press).Research output: Contribution to conference › Paper › peer-review
- Published
Demystifying Crypto-Mining: Analysis and Optimizations of memory-hard PoW Algorithms
Han, R., Foutris, N. & Kotselidis, C., 2019, p. 1-12. 12 p.Research output: Contribution to conference › Paper › peer-review
- Published
Enabling RISC-V support on MaxineVM
Zakkak, F., Fumero, J. & Kotselidis, C., 7 May 2018.Research output: Contribution to conference › Paper › peer-review
- Accepted/In press
Large Utility Sorting on FPGAs
Manev, K. & Koch, D., 16 Sep 2018, (Accepted/In press).Research output: Contribution to conference › Paper › peer-review
- Published
Objective assessment of Asthenia using energy and low-to-high spectral ratio
Jalalinajafabadi, F., Gadepalli, C., Ghasempour, M., Ascott, F., Luján, M., Homer, J. & Cheetham, B., Jul 2015, p. 76-83.Research output: Contribution to conference › Paper › peer-review
- Published
Optimized Task Graph Mapping on a Many-core Neuromorphic Supercomputer
Sugiarto, I., Campos, P., Dahir, N., Tempesti, G. & Furber, S., 14 Sep 2017. 6 p.Research output: Contribution to conference › Paper › peer-review
- Published
Paving the way towards a highly energy-efficient and highly integrated compute node for the Exascale revolution: the ExaNoDe approach
Goodacre, A., 30 Aug 2017.Research output: Contribution to conference › Paper › peer-review
DOI: 10.1109/DSD.2017.37 - Published
Performance Analysis for Languages Hosted on the Truffle Framework
Gaikwad, S., Nisbet, A. & Luján, M., 2018.Research output: Contribution to conference › Paper › peer-review
- Published
Performance Comparison of Time-Step-Driven versus Event-Driven Neural State Update Approaches in SpiNNaker
Yousefzadeh, A., Soto, M., Serrano-gotarredona, T., Galluppi, F., Plana, L., Furber, S. & Linares-barranco, B., May 2018, p. 1-4.Research output: Contribution to conference › Paper › peer-review
- Published
Profiling a Many-core Neuromorphic Platform
Sugiarto, I., Plana, L. A., Temple, S., Sen Bhattacharya, B., Furber, S. & Camilleri, P., 21 Sep 2017. 6 p.Research output: Contribution to conference › Paper › peer-review
- Published
Profiling and Tracing Support for Java Applications
Nisbet, A., Nobre, N. M., Riley, G. & Luján, M., 11 Apr 2019, p. 1. 8 p.Research output: Contribution to conference › Paper › peer-review
- Published
Quantitative Validation of Physically Based Deformable Models in Computer Graphics
Banks, M., Hazel, A. & Riley, G., 16 Apr 2018. 10 p.Research output: Contribution to conference › Paper › peer-review
- Accepted/In press
Running parallel bytecode interpreters on heterogeneous hardware
Fumero Alfonso, J., Stratikopoulos, A. & Kotselidis, C-E., 2020, (Accepted/In press) p. 1-5. 5 p.Research output: Contribution to conference › Paper › peer-review
- Accepted/In press
Software-defined PMC for Runtime Power Management of a Many-core Neuromorphic Platform
Sugiarto, I., Shang, D., Singh, A. K., Ouni, B., Merrett, G., Al-Hashimi, B. & Furber, S., 2017, (Accepted/In press) p. 1-6. 6 p.Research output: Contribution to conference › Paper › peer-review
- Accepted/In press
Task Graph Mapping of General Purpose Applications on a Neuromorphic Platform
Sugiarto, I., Campos, P., Dahir, N., Tempesti, G. & Furber, S., 24 May 2017, (Accepted/In press). 9 p.Research output: Contribution to conference › Paper › peer-review
- Accepted/In press
Temperature-Aware Optimization of Monolithic 3D Deep Neural Network Accelerators
Shukla, P., Nemtzow, S., Pavlidis, V., Salman, E. & Coskun, A., 12 Sep 2020, (Accepted/In press).Research output: Contribution to conference › Paper › peer-review
- Accepted/In press
The Case for Intra-Unikernel Isolation
Olivier, P., Barbalace, A. & Ravindran, B., 17 Mar 2020, (Accepted/In press).Research output: Contribution to conference › Paper › peer-review
- Accepted/In press
Transparent acceleration of Java-based deep learning engines
Stratikopoulos, A., Olteanu, M-C., Vaughan, I., Sevarac, Z., Foutris, N., Fumero Alfonso, J. & Kotselidis, C-E., 23 Sep 2020, (Accepted/In press).Research output: Contribution to conference › Paper › peer-review
- Published
Transparent Integration of a Dynamic FPGA Database Acceleration System
Mätas, K. & Koch, D., 13 Oct 2020.Research output: Contribution to conference › Paper › peer-review
- Published
Using Compiler Snippets to Exploit Parallelism on Heterogeneous Hardware: A Java Reduction Case Study
Fumero Alfonso, J. & Kotselidis, C-E., 4 Nov 2018.Research output: Contribution to conference › Paper › peer-review
- Accepted/In press
You Can’t Hide You Can’t Run: A Performance Assessment of Managed Applications on a NUMA Machine
Papadakis, O., Zakkak, F., Foutris, N. & Kotselidis, C-E., 2020, (Accepted/In press).Research output: Contribution to conference › Paper › peer-review
- Conference contribution › Research › Peer-reviewed
- Published
A binary-compatible unikernel
Olivier, P., Chiba, D., Lankes, S., Min, C. & Ravindran, B., 1 Apr 2019, VEE 2019: Proceedings of the 15th ACM SIGPLAN/SIGOPS International Conference on Virtual Execution Environments. Association for Computing Machinery, p. 59-73Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
A communication infrastructure for a million processor machine
Brown, A. D., Furber, S. B., Reeve, J. S., Wilson, P. R., Zwolinski, M., Chad, J. E., Plana, L. A. & Lester, D. R., 2010, CF 2010 - Proceedings of the 2010 Computing Frontiers Conference|CF - Proc. Comput. Front. Conf.. New York, USA: Association for Computing Machinery, p. 75-76 1 p.Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Accepted/In press
A Dynamic Adaptation Strategy for Energy-Efficient Keyframe-Based Visual SLAM
Khalufa, A., Riley, G. & Luján, M., 5 Jun 2019, (Accepted/In press) Proceedings of the 2019 International Conference on Parallel and Distributed Processing Techniques & Applications.Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
A forecast-based biologically-plausible STDP learning rule
Davies, S., Rast, A., Galluppi, F. & Furber, S., 2011, Proceedings of the International Joint Conference on Neural Networks|Proc Int Jt Conf Neural Networks. USA: IEEE, p. 1810-1817 7 p.Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Accepted/In press
A Framework for Software Diversification with ISA Heterogeneity
Wang, X., Yeoh, S., Lyerly, R., Olivier, P., Kim, S-H. & Ravindran, B., 26 May 2020, (Accepted/In press) Proceedings of the 23rd International Symposium on Research in Attacks, Intrusions and Defenses (RAID).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
A general-purpose model translation system for a universal neural chip
Galluppi, F., Rast, A., Davies, S. & Furber, S., 2010, Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics)|Lect. Notes Comput. Sci.. Berlin / Heidelberg: Springer Nature, Vol. 6443. p. 58-65 7 p.Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
A hierachical configuration system for a massively parallel neural hardware platform
Galluppi, F., Davies, S., Rast, A., Sharp, T., Plana, L. A. & Furber, S., 2012, CF '12 - Proceedings of the ACM Computing Frontiers Conference|CF - Proc. ACM Comput. Front. Conf.. New York, USA: Association for Computing Machinery, p. 183-192 9 p.Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
A location-independent direct link neuromorphic interface
Rast, A. D., Partzsch, J., Mayr, C., Schemmel, J., Hartmann, S., Plana, L. A., Temple, S., Lester, D. R., Schuffny, R. & Furber, S., 2013, Proceedings of the International Joint Conference on Neural Networks|Proc Int Jt Conf Neural Networks. USA: IEEEResearch output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
A novel area-efficient binary adder
Furber, S. B. & Liu, J., 2000, Conference Record of the Asilomar Conference on Signals, Systems and Computers. Vol. 1. p. 119-123 5 p.Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
A partial reconfiguration controller for Altera Stratix V FPGAs
Xiao, Z., Koch, D. & Lujan, M., 29 Aug 2016, 26th International Conference on Field Programmable Logic and Applications (FPL): FPL 2016. IEEE Computer Society , p. 1 4 p.Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
A programmable adaptive router for a GALS parallel system
Wu, J., Furber, S. & Garside, J., 2009, Proceedings - International Symposium on Asynchronous Circuits and Systems|Proc. Int. Symp. Asynchr. Circuits Syst.. USA: IEEE, p. 23-31 8 p.Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
A real-time simulator of a biological visual system composed of a silicon retina and SpiNNaker chips
Okuno, H., Kawasetsu, T., Plana, L. A., Furber, S. B. & Yagi, T., 22 Jan 2014, 2014 International Symposium on Artificial Life and Robotics (AROB 2014).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
A real-time, event-driven neuromorphic system for goal-directed attentional selection
Galluppi, F., Brohan, K., Davidson, S., Serrano-Gotarredona, T., Carrasco, J. A. P., Linares-Barranco, B. & Furber, S., 2012, Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics). PART 2 ed. Vol. 7664 LNCS. p. 226-233 8 p. (Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics); vol. 7664 LNCS, no. PART 2).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
A Robust Evolutionary Optimisation Approach for Parameterising a Neural Mass Model
Zareian, E., Chen, J. & Sen Bhattacharya, B., Sep 2016, Artificial Neural Networks and Machine Learning – ICANN 2016: 25th International Conference on Artificial Neural Networks, Barcelona, Spain, September 6-9, 2016, Proceedings, Part II. Villa, A. E. P., Masulli, P. & Pons Rivero, A. J. (eds.). Switzerland: Springer Nature, p. 225-234 10 p. (Lecture Notes in Computer Science; vol. 9887).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
A Security Library for FPGA Interlays
Vaishnav, A., Garcia Ordaz, J. R. & Koch, D., 5 Oct 2017, International Conference on Field Programmable Logic and Applications (FPL). Ghent, Belgium: IEEEResearch output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
A Soft Dual-Processor System with a Partially Run-Time Reconfigurable Shared 128-Bit SIMD Engine
Garcia Ordaz, J. R. & Koch, D., 2018, The 29th IEEE International Conference on Application-specific Systems, Architectures and Processors 2018.Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
A stream-computing extension to OpenMP
Pop, A. & Cohen, A., 2011, HiPEAC'11 - Proceedings of the 6th International Conference on High Performance and Embedded Architectures and Compilers|HiPEAC - Proc. Int. Conf. High Perform. Embedded Archit. Compilers. Association for Computing Machinery, p. 5-14 9 p. (HiPEAC '11).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Accepted/In press
A Survey on FPGA Virtualization
Vaishnav, A., Pham, K. & Koch, D., 21 May 2018, (Accepted/In press) 28th International Conference on Field Programmable Logic and Application (FPL). Dublin, Ireland, 8 p.Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
A token-managed admission control system for QoS provision on a best-effort GALS interconnect
Yang, S., Furber, S. B., Shi, Y. & Plana, L. A., 2009, Fundamenta Informaticae. 1 ed. IOS Press, Vol. 95. p. 53-72 19 p.Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
DOI: 10.3233/FI-2009-142 - Published
A universal abstract-time platform for real-time neural networks
Rast, A. D., Khan, M. M., Jin, X., Plana, L. A. & Furber, S. B., 2009, Proceedings of the International Joint Conference on Neural Networks|Proc Int Jt Conf Neural Networks. USA: IEEE, p. 2611-2618 7 p.Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
Accelerating Linux Bash Commands on FPGAs Using Partial Reconfiguration
Horta, E., Shen, X., Pham, K. & Koch, D., 26 Oct 2017, Proceedings of FPGAs for Software Programmers (FSP 2017) conference.Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Accepted/In press
Accurate and Complete Hardware Profiling for OpenMP
Neill, R., Drebes, A. & Pop, A., 26 May 2017, (Accepted/In press) Proceedings of the 13th International Workshop on OpenMP: Scaling OpenMP for Exascale Performance and Portability. Springer Nature, (Lecture Notes in Computer Science (LNCS)).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
ACTiCLOUD: Enabling the Next Generation of Cloud Applications
Goumas, G., Nikas, K., Lakew, E. B., Kotselidis, C., Attwood, A., Elmorth, E., Flouris, M., Foutris, N., Goodacre, J., Grohmann, D., Karakostas, V., Koutsourakis, P., Kersten, M., Luján, M., Rustad, E., Thomson, J., Tomas, L., Vesterkjaer, A., Webber, J., Zhang, Y. & 1 others, , 2017, Proceedings of the 37th IEEE International Conference on Distributed Computing Systems (ICDCS).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
Active learning accelerated automatic heuristic construction for parallel program mapping
Ogilvie, W. F., Petoumenos, P., Wang, Z. & Leather, H., 1 Aug 2014, PACT 2014 - Proceedings of the 23rd International Conference on Parallel Architectures and Compilation Techniques. IEEE, p. 481-482 2 p. (Parallel Architectures and Compilation Techniques - Conference Proceedings, PACT).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
Adaptive admission control on the SpiNNaker MPSoC
Yang, S., Furber, S. B. & Plana, L. A., 2009, Proceedings - IEEE International SOC Conference, SOCC 2009|Proc. - IEEE Int. SOC Conf., SOCC. USA: IEEE Computer Society , p. 243-246 3 p.Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
Advanced circuit interface for systems with multiple voltage domains
Kalargaris, H., Goodacre, J. & Pavlidis, V. F., 22 Jul 2016, 2016 12th Conference on Ph.D. Research in Microelectronics and Electronics, PRIME 2016. IEEE, 7519472Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
Algorithm and software for simulation of spiking neural networks on the multi-chip SpiNNaker system
Jin, X., Galluppi, F., Patterson, C., Rast, A., Davies, S., Temple, S. & Furber, S., 2010, Proceedings of the International Joint Conference on Neural Networks|Proc Int Jt Conf Neural Networks. USA: IEEEResearch output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
Algorithm for mapping multilayer BP networks onto the SpiNNaker neuromorphic hardware
Jin, X., Luján, M., Khan, M. M., Plana, L. A., Rast, A. D., Welbourne, S. R. & Furber, S. B., 2010, 9th International Symposium on Parallel and Distributed Computing, ISPDC 2010|Int. Symp. Parallel Distrib. Comput., ISPDC. USA: IEEE, p. 9-16 7 p.Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
AMULET3: a high-performance self-timed ARM microprocessor
Furber, S. B., Garside, J. D. & Gilbert, D. A., 1998, Proceedings - IEEE International Conference on Computer Design: VLSI in Computers and Processors. IEEE, p. 247-252 6 p.Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
AMULET3 revealed
Garside, J. D., Furber, S. B. & Chung, S. H., 1999, Proceedings - International Symposium on Asynchronous Circuits and Systems. IEEE Computer Society , p. 51-59 9 p. 761522Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Accepted/In press
An Analysis of Call-Site Patching without Strong Hardware Support for Self-Modifying-Code
Hartley, T., Zakkak, F., Kotselidis, C. & Luján, M., 12 Sep 2019, (Accepted/In press) Proceedings of the 16th ACM SIGPLAN International Conference on Managed Programming Languages and Runtimes (MPLR '19). Association for Computing MachineryResearch output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
An asynchronous fully digital delay locked loop for DDR SDRAM data recovery
Garside, J. D., Furber, S. B., Temple, S., Clark, D. M. & Plana, L. A., 2012, Proceedings - International Symposium on Asynchronous Circuits and Systems|Proc. Int. Symp. Asynchr. Circuits Syst.. IEEE Computer Society , p. 49-56 7 p.Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
An asynchronous low latency arbiter for Quality of Service (QoS) applications
Felicijan, T., Bainbridge, W. & Furber, S., 2003, Proceedings of the International Conference on Microelectronics, ICM. IEEE, Vol. 2003-January. p. 123-126 4 p. 1287737Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
An asynchronous on-chip network router with Quality-of-Service (QoS) support
Felicijan, T. & Furber, S. B., 2004, Proceedings - IEEE International SOC Conference. Chickanosky, J., Ha, D. & Auletta, R. (eds.). p. 274-277 4 p.Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
An efficient SpiNNaker implementation of the Neural Engineering Framework
Mundy, A., Knight, J., Stewart, T. & Furber, S., Jul 2015, Neural Networks (IJCNN), 2015 International Joint Conference on. USA: IEEE, p. 1-8 8 p.Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
An event-driven model for the SpiNNaker virtual synaptic channel
Rast, A., Galluppi, F., Davies, S., Plana, L. A., Sharp, T. & Furber, S., 2011, Proceedings of the International Joint Conference on Neural Networks|Proc Int Jt Conf Neural Networks. USA: IEEE, p. 1967-1974 7 p.Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
An on-chip and inter-chip communications network for the SpiNNaker Massively-Parallel Neural Net Simulator
Plana, L. A., Bainbridge, J., Furber, S., Salisbury, S., Shi, Y. & Wu, J., 2008, Proceedings - Second IEEE International Symposium on Networks-on-Chip, NOCS 2008|Proc. IEEE Int. Symp. Netw. Chip NOCS. p. 215-216 1 p.Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
An Overview of Thermal Challenges and Opportunities for Monolithic 3D ICs
Shukla, P., Coskun, A., Pavlidis, V. & Salman, E., 13 May 2019, Great Lakes Symposium on VLSI. Association for Computing MachineryResearch output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
Analytical assessment of the suitability of multicast communications for the SpiNNaker neuromimetic system
Navaridas, J., Luján, M., Plana, L. A., Miguel-Alonso, J. & Furber, S. B., 2012, Proceedings of the 14th IEEE International Conference on High Performance Computing and Communications, HPCC-2012 - 9th IEEE International Conference on Embedded Software and Systems, ICESS-2012|Proc. IEEE Int. Conf. High Perform. Comput. Commun., HPCC - IEEE Int. Conf. Embedded Softw. Syst., ICESS. IEEE Computer Society , p. 1-8 7 p.Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
DOI: 10.1109/HPCC.2012.11 - Published
ARM and Heterogeneous Compute
Goodacre, J., 2012, host publication. MPSocResearch output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
ARM MPCore and Power Management
Goodacre, J., 11 Apr 2011, host publication.Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
ARM MPCore; The streamlined and scalable ARM11 processor core
Hirata, K. & Goodacre, J., 2007, host publication. IEEE, p. 747-748 2 p.Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
ARM next generation 64bit processors for power efficient compute
Goodacre, J., 22 Apr 2013, host publication. IEEEResearch output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
Asynchronous Interface FIFO Design on FPGA for High-throughput NRZ Synchronisation
Liu, G., Garside, J., Furber, S., Plana, L. A. & Koch, D., 5 Oct 2017, 2017 27th International Conference on Field Programmable Logic and Applications (FPL). IEEE, 8 p. (International Conference on Field Programmable Logic and Applications).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
Automatic controller detection for large scale RTL designs
Song, W. & Garside, J., 2013, Proceedings - 16th Euromicro Conference on Digital System Design, DSD 2013|Proc. - Euromicro Conf. Digit. Syst. Des., DSD. IEEE Computer Society , p. 844-851 7 p.Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
DOI: 10.1109/DSD.2013.94 - Published
Balancing Performance and Productivity for the Development of Dynamic Binary Instrumentation Tools - A Case Study on Arm Systems
Gorgovan, C., Callaghan, G. & Luján, M., 22 Feb 2020, Proceedings of the 29th International Conference on Compiler Construction (CC ’20). Association for Computing Machinery, 11 p.Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
Beyond Polyhedral Analysis of OpenStream Programs
Nobre, N. M., Drebes, A., Riley, G. & Pop, A., 23 Jan 2019, IMPACT 2019 - 9th International Workshop on Polyhedral Compilation Techniques. Valencia, SpainResearch output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
Bio-inspired massively-parallel computation
Furber, S., 2016, Parallel Computing: On the Road to Exascale. Elsevier BV, Vol. 27. p. 3-10 8 p. (Advances in Parallel Computing; vol. 27).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
Biologically-inspired massively-parallel architectures- Computing beyond a million processors
Furber, S. & Brown, A., 2009, Proceedings - International Conference on Application of Concurrency to System Design, ACSD|Proc. Int. Conf. Appl. Concurrency Syst. Des. ACSD. USA: IEEE, p. 3-12 9 p.Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
DOI: 10.1109/ACSD.2009.17 - Published
Boosting Java Performance Using GPGPUs
Clarkson, J., Kotselidis, C., Brown, G. & Luján, M., 4 Mar 2017, Architecture of Computing Systems - ARCS 2017: 30th International Conference, Vienna, Austria, April 3--6, 2017, Proceedings. Knoop, J., Karl, W., Schulz, M., Inoue, K. & Pionteck, T. (eds.). Cham: Springer Nature, p. 59-70 12 p. (Lecture Notes in Computer Science; vol. 10172).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
Bounded Stream Scheduling in Polyhedral OpenStream
Nobre, N. M., Drebes, A., Riley, G. & Pop, A., 22 Jan 2020, IMPACT 2020 - 10th International Workshop on Polyhedral Compilation Techniques. Bologna, ItalyResearch output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
Brewing the first ever automatic memory management utility for SpiNNaker: Real-Time Garbage Collection for STDP simulations
Mikaitis, M. & Lester, D., 3 Jul 2017, 2017 International Joint Conference on Neural Networks (IJCNN). p. 3008-3015Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
Cache replacement based on reuse-distance prediction
Keramidas, G., Petoumenos, P. & Kaxiras, S., 2007, 2007 25th international conference on computer design. IEEE, p. 245-250 6 p. 4601909Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
Challenges in programming multiprocessor platforms
Goodacre, J., 5 Jul 2004, host publication. ARM LtdResearch output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Accepted/In press
COLAB: A Collaborative Multi-factor Scheduler for Asymmetric Multicore Processors
Yu, T., Petoumenos, P., Janjic, V., Leather, H. & Thomson, J., 23 Oct 2019, (Accepted/In press) Proceedings of the 2020 International Symposium on Code Generation and Optimization. 13 p.Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
Compiler fuzzing through deep learning
Cummins, C., Petoumenos, P., Murray, A. & Leather, H., 12 Jul 2018, ISSTA 2018 - Proceedings of the 27th ACM SIGSOFT International Symposium on Software Testing and Analysis. Bodden, E. & Tip, F. (eds.). Association for Computing Machinery, p. 95-105 11 p. (ISSTA 2018 - Proceedings of the 27th ACM SIGSOFT International Symposium on Software Testing and Analysis).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
Computationally Efficient Standard-Cell FEM-based Thermal Analysis
Mihajlovic, M., Ladenheim, S., Chen, Y-C., Kalargaris, C. & Pavlidis, V., 14 Dec 2017, International Conference on Computer-Aided Design. Association for Computing Machinery, 8 p. (2017 IEEE/ACM International Conference on Computer-Aided Design (ICCAD)).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
ConvNets Experiments on SpiNNaker
Serrano-Gotarredona, T., Linares-Barranco, B., Galluppi, F., Plana, L. A. & Furber, S., 2015, Circuits and Systems (ISCAS), 2015 IEEE International Symposium on. p. 2405-2408 4 p.Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
Correct and efficient bounded FIFO queues
Lê, N. M., Guatto, A., Cohen, A. & Pop, A., 2013, Proceedings - Symposium on Computer Architecture and High Performance Computing|Proc. Symp. Comput. Archit. High Perform. Comput.. IEEE Computer Society , p. 144-151 7 p.Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
Correct and efficient work-stealing for weak memory models
Lê, N. M., Pop, A., Cohen, A. & Zappa Nardelli, F., 2013, Proceedings of the ACM SIGPLAN Symposium on Principles and Practice of Parallel Programming, PPOPP|Proc ACM SIGPLAN Symp Prins Pract Parall Program PPOPP. New York, USA: Association for Computing Machinery, p. 69-79 10 p.Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
Correctness and performance of the SpiNNaker architecture
Sharp, T. & Furber, S., 2013, Proceedings of the International Joint Conference on Neural Networks|Proc Int Jt Conf Neural Networks. USA: IEEEResearch output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
Data mining and visualisation in neuroscience applications - The CARMEN project and the signal data explorer toolset
Jackson, T., Fletcher, M., Liang, B., Jessop, M., Davidson, S. & Austin, J., 2009, 6th International Conference on Condition Monitoring and Machinery Failure Prevention Technologies 2009. British Institute of Non-Destructive Testing, Vol. 2. p. 842-853 12 p.Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
Deadlock Recovery in Asynchronous Networks on Chip in the Presence of Transient Faults
Zhang, G., Garside, J., Song, W., Navaridas, J., Wang, Z. & Navaridas, J., 4 May 2015, Asynchronous Circuits and Systems (ASYNC), 2015 21st IEEE International Symposium. USA: IEEE, p. 100-107 8 p.Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
Deep Spiking Neural Network model for time-variant signals classification: A real-time speech recognition approach
Dominguez-Morales, J. P., Liu, Q., James, R., Gutierrez-Galan, D., Jimenez-Fernandez, A., Davidson, S. & Furber, S., 10 Oct 2018, 2018 International Joint Conference on Neural Networks, IJCNN 2018 - Proceedings. IEEE, Vol. 2018-July. 8489381Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Accepted/In press
Demo: A Closer Look at Malicious Bitstreams
La, T., Mätas, K., Powell, J., Pham, K. & Koch, D., 2020, (Accepted/In press) 30th International Conference on Field-Programmable Logic and Applications (FPL).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
Design Exploration of Multi-tier interconnects for Exascale systems
Navaridas, J., Lant, J., Pascual Saiz, J., Luján, M. & Goodacre, J., 5 Aug 2019, ICPP 2019 : International Conference on Parallel Processing .Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
Designing an Exascale Interconnect using Multi-objective Optimization
Pascual Saiz, J., Lant, J., Attwood, A., Concatto, C., Navaridas, J., Luján, M. & Goodacre, J., 2017, IEEE Congress on Evolutionary Computation 2017. IEEEResearch output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Accepted/In press
DEX: Scaling Applications Beyond Machine Boundaries
Kim, S-H., Chuang, H-R., Lyerly, R., Olivier, P., Min, C. & Ravindran, B., 13 Mar 2020, (Accepted/In press) 40th IEEE International Conference on Distributed Computing Systems (ICDCS) .Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
Distributed configuration of massively-parallel simulation on SpiNNaker neuromorphic hardware
Sharp, T., Patterson, C. & Furber, S., 2011, Proceedings of the International Joint Conference on Neural Networks|Proc Int Jt Conf Neural Networks. USA: IEEE, p. 1099-1105 6 p.Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Accepted/In press
Dynamic Application Reconfiguration on Heterogeneous Hardware
Fumero, J., Papadimitriou, M., Zakkak, F., Xekalaki, M., Clarkson, J. & Kotselidis, C., 14 Apr 2019, (Accepted/In press) 5th ACM SIGPLAN/SIGOPS International Conference on Virtual Execution Environments (VEE’19) . p. 165 178 p.Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
Dynamic voltage and frequency scaling for neuromorphic many-core systems
Höppner, S., Yan, Y., Vogginger, B., Dixius, A., Partzsch, J., Neumärker, F., Hartmann, S., Schiefer, S., Scholze, S., Ellguth, G., Cederstroem, L., Eberlein, M., Mayr, C., Temple, S., Plana, L. A., Garside, J., Davidson, S., Lester, D. & Furber, S., 2017, 2017 IEEE International Symposium on Circuits and Systems (ISCAS). IEEEResearch output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
Early application performance at the hartree centre with the openPOWER architecture
Ashworth, M., Meng, J., Novakovic, V. & Siso, S., 1 Jan 2016, High Performance Computing - ISC High Performance 2016 International Workshops ExaComm, E-MuCoCoS, HPC-IODC, IXPUG, IWOPH, P^3MA, VHPC, WOPSSS, Revised Selected. Mohr, B., Kunkel, J. M. & Taufer, M. (eds.). Springer Nature, p. 173-187 15 p. (Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics); vol. 9945 LNCS).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
EasyPR — An easy usable open-source PR system
Koch, D., Amano, H. (ed.), Ha, Y. (ed.) & Yamaguchi, Y. (ed.), Dec 2013, 2013 International Conference on Field-Programmable Technology (FPT). Amano, H., Ha, Y. & Yamaguchi, Y. (eds.). USA: IEEE, p. 414-417 4 p.Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Accepted/In press
Edge Computing – the Case for Heterogeneous-ISA Container Migration
Barbalace, A., Karaoui, M. L., Wang, W., Xing, T., Olivier, P. & Ravindran, B., 26 Jan 2020, (Accepted/In press) The 16th ACM SIGPLAN/SIGOPS International Conference on Virtual Execution Environments (VEE'20).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
EFCAD – an Embedded FPGA CAD Tool Flow For Enabling On-Chip Self-Compilation
Pham, K., Vesper, M., Koch, D. & Hung, E., 13 Jun 2019, The 27th IEEE International Symposium On Field-Programmable Custom Computing Machines.Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
Effective Barrier Synchronization on Intel Xeon Phi Coprocessor
Rodchenko, A., Nisbet, A., Pop, A. & Lujan, M., 25 Jul 2015, Euro-Par 2015: Parallel Processing - 21st International Conference on Parallel and Distributed Computing, Vienna, Austria, August 24-28, 2015, Proceedings. Berlin Heidelberg: Springer Nature, Vol. 9233. p. 588-600 13 p. (Lecture Notes in Computer Science).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
Effective Function Merging in the SSA Form
Rocha, R., Petoumenos, P., Wang, Z., Cole, M. & Leather, H., 11 Jun 2020, Proceedings of the 41st ACM SIGPLAN Conference on Programming Language Design and Implementation (PLDI 2020). Donaldson, A. F. & Torlak, E. (eds.). p. 854-868 15 p. (Proceedings of the ACM SIGPLAN Conference on Programming Language Design and Implementation (PLDI)).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
Efficient Linear System Solution Techniques in the Simulation of Large Dense Mutually Inductive Circuits
Antoniadis, C., Mihajlovic, M., Evmorfopoulos, N., Stamoulis, G. & Pavlidis, V., 10 Feb 2020, Proceedings - 2019 IEEE International Conference on Computer Design, ICCD 2019. p. 405-408 4 p. 8988760. (Proceedings - 2019 IEEE International Conference on Computer Design, ICCD 2019).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
Efficient microarchitecture policies for accurately adapting to power constraints
Cebrián, J. M., Aragón, J. L., García, J. M., Petoumenos, P. & Kaxiras, S., 25 Nov 2009, IPDPS 2009 Rome: Proceedings of the 2009 IEEE International Parallel & Distributed Processing Symposium . IEEE, 12 p. 5161022Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
Efficient parallel implementation of multilayer backpropagation networks on SpiNNaker
Jin, X., Luján, M., Plana, L. A., Rast, A. D., Welbourne, S. R. & Furber, S. B., 2010, CF 2010 - Proceedings of the 2010 Computing Frontiers Conference|CF - Proc. Comput. Front. Conf.. New York, USA: Association for Computing Machinery, p. 89-90 1 p.Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
Efficient SpiNNaker simulation of a heteroassociative memory using the Neural Engineering Framework
Knight, J., Voelker, A. R., Mundy, A., Eliasmith, C. & Furber, S., 31 Oct 2016, 2016 International Joint Conference on Neural Networks, IJCNN 2016. IEEE, Vol. 2016-October. p. 5210-5217 8 p. 7727888Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
End-to-End Deep Learning of Optimization Heuristics
Cummins, C., Petoumenos, P., Wang, Z. & Leather, H., 31 Oct 2017, Proceedings - 26th International Conference on Parallel Architectures and Compilation Techniques, PACT 2017. IEEE, p. 219-232 14 p. (Parallel Architectures and Compilation Techniques - Conference Proceedings, PACT; vol. 2017-September).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
DOI: 10.1109/PACT.2017.24 - Published
Energy Efficiency of Low Swing Signaling for Emerging Interposer Technologies
Maragkoudaki, E., Mroszczyk, P. & Pavlidis, V., 2019, NanoArch Conference 2018.Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
Erbium: A deterministic, concurrent intermediate representation to map data-flow tasks to scalable, persistent streaming processes
Miranda, C., Pop, A., Dumont, P., Cohen, A. & Duranton, M., 2010, Embedded Systems Week 2010 - Proceedings of the 2010 International Conference on Compilers, Architecture and Synthesis for Embedded Systems, CASES'10|Embedded Syst. Week - Proc. Int. Conf. Compilers, Archit. Synth. Embedded Syst., CASES. New York, USA: Association for Computing Machinery, p. 11-20 9 p.Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
EUROSERVER: Share-anything scale-out micro-server design
Marazakis, M., Goodacre, J., Fuin, D., Carpenter, P., Thomson, J., Matus, E., Bruno, A., Stenstrom, P., Martin, J., Durand, Y. & Dor, I., 25 Apr 2016, Proceedings of the 2016 Design, Automation and Test in Europe Conference and Exhibition, DATE 2016. IEEE, p. 678-683 6 p. 7459395Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
EUROSERVER: Energy Efficient Node for European Micro-Servers
Durand, Y., Carpenter, P. M., Adami, S., Bilas, A., Dutoit, D., Farcy, A., Gaydadjiev, G., Goodacre, J., Katevenis, M., Marazakis, M., Matus, E., Mavroidis, I. & Thomson, J., 2014, host publication. IEEE, p. 206-213 8 p.Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
DOI: 10.1109/DSD.2014.15 - Published
Evaluating rank-order code performance using a biologically-derived retinal model
Sen, B. & Furber, S., 2009, Proceedings of the International Joint Conference on Neural Networks|Proc Int Jt Conf Neural Networks. USA: IEEE, p. 2867-2874 7 p.Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
Event-based neural computing on an autonomous mobile platform
Galluppi, F., Denk, C., Meiner, M. C., Stewart, T. C., Plana, L. A., Eliasmith, C., Furber, S. & Conradt, J., Jun 2014, Robotics and Automation (ICRA), 2014 IEEE International Conference on. USA: IEEE, p. 2862-2867 6 p.Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
Event-driven configuration of a neural network CMP system over a homogeneous interconnect fabric
Khan, M. M., Navaridas, J., Rast, A. D., Jin, X., Plana, L. A., Luján, M., Woods, J. V., Miguel-Alonso, J. & Furber, S. B., 2009, 8th International Symposium on Parallel and Distributed Computing, ISPDC 2009|Int. Symp. Parallel Distrib. Comput., ISPDC. USA: IEEE, p. 54-61 7 p.Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
Event-driven MLP implementation on neuromimetic hardware
Rast, A. D., Plana, L. A., Welbourne, S. R. & Furber, S. B., 2012, Proceedings of the International Joint Conference on Neural Networks|Proc Int Jt Conf Neural Networks. IEEE Computer SocietyResearch output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
Event-driven simulation of arbitrary spiking neural networks on SpiNNaker
Sharp, T., Plana, L. A., Galluppi, F. & Furber, S., 2011, Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics)|Lect. Notes Comput. Sci.. Berlin / Heidelberg: Springer Nature, Vol. 7064. p. 424-430 6 p.Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
Evolving Controllably Difficult Datasets for Clustering
Shand, C., Allmendinger, R., Handl, J., Webb, A. & Keane, J., 13 Jul 2019, Proceedings of the Annual Conference on Genetic and Evolutionary Computation (GECCO '19) .Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
Experiences with Building Domain Specific Compilation Plugins in Graal
Barrett, C., Kotselidis, C., Zakkak, F., Foutris, N. & Luján, M., 1 Sep 2017, 14th International Conference on Managed Languages & Runtimes (ManLang). Association for Computing MachineryResearch output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
Exploiting High-Performance Heterogeneous Hardware for Java Programs using Graal
Clarkson, J., Fumero Alfonso, J., Papadimitriou, M., Zakkak, F., Xekalaki, M., Kotselidis, C-E. & Luján, M., 12 Sep 2018, Proceedings of the 15th International Conference on Managed Languages and Runtimes, ManLang 2018 (formerly PPPJ). p. 1-13 13 p. 4. (ACM International Conference Proceeding Series).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
Exploration of task-based scheduling for convolutional neural networks accelerators under memory constraints
Rodrigues, C. F., Riley, G. & Luján, M., 2019, 5th Workshop on design of Low Power EMbedded Systems at Computing Frontiers 2019 . p. 366-372Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
Extending the ARM Architecture
Goodacre, J., 2010, host publication: MPSoc .Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
Extending the Cortex ARM version-7 architecture for next generation multicore
Goodacre, J., 2007, host publication: MPSoc .Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
Fast automatic heuristic construction using active learning
Ogilvie, W. F., Petoumenos, P., Wang, Z. & Leather, H., 1 Jan 2015, Languages and Compilers for Parallel Computing - 27th International Workshop, LCPC 2014, Revised Selected Papers. Brodman, J. & Tu, P. (eds.). Springer Nature, p. 146-160 15 p. (Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics); vol. 8967).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
FastPath: Towards Wire-speed NVMe SSDs
Stratikopoulos, A., Kotselidis, C-E., Goodacre, J. & Luján, M., 6 Dec 2018, 2018 28th International Conference on Field Programmable Logic and Applications (FPL). IEEE, 8 p. (2018 28th International Conference on Field Programmable Logic and Applications (FPL)).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
Fault tolerant delay insensitive inter-chip communication
Shi, Y., Furber, S. B., Garside, J. & Plana, L. A., 2009, Proceedings - International Symposium on Asynchronous Circuits and Systems|Proc. Int. Symp. Asynchr. Circuits Syst.. USA: IEEE, p. 77-84 7 p.Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
Fine-grained energy profiling for deep convolutional neural networks on the Jetson TX1
Rodrigues, C., Riley, G. & Luján, M., 2017, IEEE International Symposium on Workload Characterization (IISWC), 2017 . p. 114-115 2 p.Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Accepted/In press
First steps in Porting the LFRic Weather and Climate Model to the FPGAs of the EuroExa Architecture
Ashworth, M., Riley, G., Attwood, A. & Mawer, J., 4 Oct 2018, (Accepted/In press) H2RC 2018 : 4th Workshop on Heterogeneous High-performance Reconfigurable Computing .Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
Flexible Page-level Memory Access Monitoring Based on Virtualization Hardware
Lu, K., Zhang, W., Wang, X., Luján, M. & Nisbet, A., 9 Apr 2017, Proceedings of the 13th ACM SIGPLAN/SIGOPS International Conference on Virtual Execution Environments. New York, NY, USA: Association for Computing Machinery, p. 201-213 13 p. (VEE '17).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
From embedded multi-core SoCs to scale-out processors
Coppola, M., Falsafi, B., Goodacre, J. & Kornaros, G., 2013, host publication. IEEE, p. 947-951 5 p.Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
FullFusion: A Framework for Semantic Reconstruction of Dynamic Scenes
Bujanca, M., Luján, M. & Lennox, B., Oct 2019, The IEEE International Conference on Computer Vision (ICCV) Workshops.Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
Function Merging by Sequence Alignment
Rocha, R. C. O., Petoumenos, P., Wang, Z., Cole, M. & Leather, H., 7 Mar 2019, CGO 2019 - Proceedings of the 2019 IEEE/ACM International Symposium on Code Generation and Optimization. IEEE, p. 0 0Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
Future Architecture of MPSoC Platforms
Goodacre, J., 16 Jun 2008, host publication. MPSocResearch output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
Future trends in SoC interconnect
Furber, S. & Bainbridge, J., 2005, 2005 International Symposium on System-on-Chip, Proceedings. Vol. 2005. p. 183-186 4 p. 1595673Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
Future trends in SoC interconnect
Furber, S., 2005, 2005 IEEE VLSI-TSA International Symposium on VLSI Design, Automation and Test,(VLSI-TSA-DAT). Vol. 2005. p. 295-298 4 p. 1500079Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
Hardware accelerated Virtualization in the ARM Cortex Processors
Goodacre, J., Nov 2011, host publication. Cambridge, UK: ARM Ltd, p. 1-30 30 p.Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
Heterogeneous Managed Runtime Systems: A Computer Vision Case Study
Kotselidis, C., Clarkson, J., Rodchenko, A., Nisbet, A., Mawer, J. & Luján, M., 2017, Proceedings of the 13th ACM SIGPLAN/SIGOPS International Conference on Virtual Execution Environments. New York, NY, USA: Association for Computing Machinery, p. 74-82 9 p. (VEE '17).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
Heterogeneous Resource-Elastic Scheduling for CPU+FPGA Architectures
Vaishnav, A., Pham, K. & Koch, D., 6 Jun 2019, 10th International Symposium on Highly Efficient Accelerators and Reconfigurable Technologies (HEART). ACM Digital LibraryResearch output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
HEXO: Offloading HPC Compute-Intensive Workloads on Low-Cost, Low-Power Embedded Systems
Olivier, P., Mehrab, A. K. M. F., Lankes, S., Karaoui, M. L., Lyerly, R. & Ravindran, B., 1 Jun 2019, HPDC '19: Proceedings of the 28th International Symposium on High-Performance Parallel and Distributed Computing. Association for Computing Machinery, p. 85-96Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
Hierarchical reconfiguration of FPGAs
Koch, D. & Herkersdorf, A. (ed.), 2014, Proceedings of the 24th International Conference on Field Programmable Logic and Applications. Herkersdorf, A. (ed.). USA: IEEE, p. 1-8 8 p.Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
High performance computing on SpiNNaker neuromorphic platform: A case study for energy efficient image processing
Sugiarto, I., Liu, G., Davidson, S., Plana, L. A. & Furber, S., 9 Dec 2016, Performance Computing and Communications Conference (IPCCC), 2016 IEEE 35th International: IEEE.Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
High-performance computing for systems of spiking neurons
Furber, S. B., Temple, S. & Brown, A., 2006, Proceedings of AISB'06: Adaptation in Artificial and Biological Systems. Vol. 2. p. 29-36 8 p.Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
High-Performance, Low-Complexity Deadlock Avoidance for Arbitrary Topologies/Routings
Pascual Saiz, J. & Navaridas, J., 2018, ACM International Conference on Supercomputing.Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
HLS Compilation for CPU Interlays
Garcia Ordaz, J. R. & Koch, D., 31 Dec 2017, International Symposium on Highly-Efficient Accelerators and Reconfigurable Technologies (HEART 2017) .Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
HLS Enabled Partially Reconfigurable Module Implementation
Grigore, B., Koch, D. & Kritikakis, C., 2018, ARCS 2018. 12 p.Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
Hosting OpenMP Programs on Java Virtual Machines
Gaikwad, S., Nisbet, A. & Luján, M., 21 Oct 2019, Proceedings of the 16th ACM SIGPLAN International Conference on Managed Programming Languages and Runtimes (MPLR '19). Association for Computing MachineryResearch output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
HyperMAMBO-X64: Using Virtualization to Support High-performance Transparent Binary Translation
d'Antras, A., Gorgovan, C., Garside, J., Goodacre, J. & Luján, M., 8 Apr 2017, Proceedings of the 13th ACM SIGPLAN/SIGOPS International Conference on Virtual Execution Environments. New York, NY, USA: Association for Computing Machinery, p. 228-241 14 p. (VEE '17).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
IC Thermal Analyzer for Versatile 3-D Structures Using Multigrid Preconditioned Krylov Methods
Ladenheim, S., Chen, Y-C., Mihajlovic, M. & Pavlidis, V., 23 Jan 2017, 2016 International Conference On Computer Aided Design. IEEE, 6 p. (2016 IEEE/ACM International Conference on Computer-Aided Design (ICCAD) ).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
Implementing learning on the SpiNNaker universal neural chip multiprocessor
Jin, X., Rast, A., Galluppi, F., Khan, M. M. & Furber, S., 2009, Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics). PART 1 ed. Vol. 5863 LNCS. p. 425-432 8 p. (Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics); vol. 5863 LNCS, no. PART 1).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
Implementing spike-timing-dependent plasticity on SpiNNaker neuromorphic hardware
Jin, X., Rast, A., Galluppi, F., Davies, S. & Furber, S., 2010, Proceedings of the International Joint Conference on Neural Networks|Proc Int Jt Conf Neural Networks. USA: IEEEResearch output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
Instruction-based reuse-distance prediction for effective cache management
Petoumenos, P., Keramidas, G. & Kaxiras, S., 18 Dec 2009, Proceedings 2009 international conference on embedded computer systems: architectures, modeling and simulation. Najjar, W. & Schulte, M. J. (eds.). IEEE, p. 49-58 10 p. 5289241Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
Integrating Algorithmic Parameters into Benchmarking and Design Space Exploration in 3D Scene Understanding
Bodin, B., Nardi, L., Zia, M. Z., Wagstaff, H., Sreekar Shenoy, G., Emani, M., Mawer, J., Kotselidis, C., Nisbet, A., Lujan, M., Franke, B., Kelly, P. H. J. & O'Boyle, M., 2016, Proceedings of the 2016 International Conference on Parallel Architectures and Compilation. New York, NY, USA: Association for Computing Machinery, p. 57-69 13 p. (PACT '16).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
Interactive Visualization of Cross-Layer Performance Anomalies in Dynamic Task-Parallel Applications and Systems
Drebes, A., Pop, A., Heydemann, K. & Cohen, A., 18 Apr 2016, Proceedings of the International Symposium on Performance Analysis of Systems and Software (ISPASS). Uppsala, Sweden : IEEE Computer SocietyResearch output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Accepted/In press
Intra-Unikernel Isolation with Intel Memory Protection Keys
Sung, M., Olivier, P., Lankes, S. & Ravindran, B., 26 Jan 2020, (Accepted/In press) The 16th ACM SIGPLAN/SIGOPS International Conference on Virtual Execution Environments (VEE'20).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
Introducing SLAMBench, a performance and accuracy benchmarking methodology for SLAM
Nardi, L., Bodin, B., Zia, M. Z., Mawer, J., Nisbet, A., Kelly, P. HJ., Davison, A. J., Lujan Moreno, M. L., O'Boyle, M. FP., Riley, G., Topham, N. & Furber, S., 30 May 2015, IEEE International Conference on Robotics and Automation (ICRA). IEEE, p. 5783-5790 8 p. (2015 IEEE International Conference on Robotics and Automation (ICRA)).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
IPRDF: An Isolated Partial Reconfiguration Design Flow for Xilinx FPGAs
Pham, K., Horta, E., Koch, D., Vaishnav, A. & Kuhn, T., 2018, IEEE 12th International Symposium on Embedded Multicore/Many-core Systems-on-Chip (MCSoC-2018).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
JetStream: An open-source high-performance PCI Express 3 streaming library for FPGA-to-Host and FPGA-to-FPGA communication
Vesper, M., Koch, D., Vipin, K. & Fahmy, S. A., 26 Sep 2016, FPL 2016 - 26th International Conference on Field-Programmable Logic and Applications. IEEE, 7577334. (International Conference on Field Programmable Logic and Applications).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
Keynotes
Bal, H., Blake, W., Goodacre, J. & Flynn, M., 22 Oct 2014, host publication. IEEE, p. xviii-xxiiResearch output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
kMVX: Detecting Kernel Information Leaks with Multi-variant Execution
Österlund, S., Koning, K., Olivier, P., Barbalace, A., Bos, H. & Giuffrida, C., 1 Apr 2019, ASPLOS '19: Proceedings of the Twenty-Fourth International Conference on Architectural Support for Programming Languages and Operating Systems. Association for Computing Machinery, p. 559-572Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
Language-Centric Performance Analysis of OpenMP Programs with Aftermath
Drebes, A., Bréjon, J-B., Pop, A., Heydemann, K. & Cohen, A., 2016, OpenMP : memory, devices, and tasks : 12th International Workshop on OpenMP, IWOMP 2016, Nara, Japan, October 5-7, 2016, proceedings . Maruyama, N., De Supinski, B. R. & Wahib, M. (eds.). Springer Nature, p. 237-250 14 p. (Lecture Notes in Computer Science; vol. 9903).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
Large-scale on-chip dynamic programming network inferences using moderated inter-core communication
Mundy, A., Mak, T., Yakovlev, A., Davidson, S. & Furber, S., 2012, Proceedings - International Conference on Application of Concurrency to System Design, ACSD|Proc. Int. Conf. Appl. Concurrency Syst. Des. ACSD. IEEE, p. 62-71 9 p.Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
DOI: 10.1109/ACSD.2012.12 - Published
Live Demo: Spiking ratSLAM: Rat hippocampus cells in spiking neural hardware
Galluppi, F., Conradt, J., Stewart, T., Eliasmith, C., Horiuchi, T., Tapson, J., Tripp, B., Furber, S. & Etienne-Cummings, R., 2012, 2012 IEEE Biomedical Circuits and Systems Conference: Intelligent Biomedical Electronics and Systems for Better Life and Better Environment, BioCAS 2012 - Conference Publications. p. 91 1 p. 6418493Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
Live demonstration: Real-time event-driven object recognition on SpiNNaker
Orchard, G., Lagorce, X., Posch, C., Furber, S., Benosman, R. & Galluppi, F., 27 Jul 2015, Proceedings - IEEE International Symposium on Circuits and Systems. IEEE, Vol. 2015-July. p. 1903 1 p. 7169036Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
Live Migration for OpenCL FPGA Accelerators
Vaishnav, A., Pham, K. & Koch, D., 20 Jul 2019, International Conference on Field-Programmable Technology (FPT). Naha, Okinawa, Japan: IEEE, 8 p.Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
Low Overhead Dynamic Binary Translation on ARM
d'Antras, A., Gorgovan, C., Garside, J. & Luján, M., 18 Jun 2017, Proceedings of the 38th ACM SIGPLAN Conference on Programming Language Design and Implementation, PLDI 2017. Association for Computing Machinery, p. 333–346Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
Low-Precision Neural Network Decoding of Polar Codes
Wodiany, I. & Pop, A., 2019, International Workshop on Signal Processing Advances in Wireless Communications (SPAWC). IEEE Computer SocietyResearch output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
Maintaining real-time synchrony on SpiNNaker
Davies, S., Rast, A. D., Galluppi, F. & Furber, S. B., 2011, Proceedings of the 8th ACM International Conference on Computing Frontiers, CF'11|Proc. ACM Int. Conf. Comput. Front., CF. New York, USA.: Association for Computing MachineryResearch output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
Making a Case for an ARM Cortex-A9 CPU Interlay Replacing the NEON SIMD Unit
Garcia Ordaz, J. R. & Koch, D., 2017, International Conference on Field-Programmable Logic and Applications. (2017 27th International Conference on Field Programmable Logic and Applications (FPL)).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
Managing a massively-parallel resource-constrained computing architecture
Patterson, C., Preston, T., Galluppi, F. & Furber, S., 2012, Proceedings - 15th Euromicro Conference on Digital System Design, DSD 2012|Proc. - Euromicro Conf. Digit. Syst. Des., DSD. p. 723-726 3 p.Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
DOI: 10.1109/DSD.2012.84 - Published
Markov Chain Monte Carlo inference on graphical models using event-based processing on the SpiNNaker neuromorphic architecture
Mendat, D. R., Chin, S., Furber, S. & Andreou, A. G., 1 Mar 2015, Information Sciences and Systems (CISS), 2015 49th Annual Conference on. p. 1-6 6 p.Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
Maximising information recovery from rank-order codes
Sen, B. & Furber, S. B., 2007, Proceedings of SPIE - The International Society for Optical Engineering. Vol. 6570. 65700CResearch output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
DOI: 10.1117/12.717799 - Published
MaxSim: A Simulator Platform for Managed Applications
Rodchenko, A., Kotselidis, C., Nisbet, A., Pop, A. & Luján, M., 2017, IEEE International Symposium on Performance Analysis of Systems and Software - ISPASS 2017.Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
Measuring QoE of interactive workloads and characterising frequency governors on mobile devices
Seeker, V., Petoumenos, P., Leather, H. & Franke, B., 11 Dec 2014, IISWC 2014 - IEEE International Symposium on Workload Characterization. IEEE, p. 61-70 10 p. 6983040. (IISWC 2014 - IEEE International Symposium on Workload Characterization).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
Measuring SMP
Goodacre, J., 2005, host publication. MPSocResearch output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
Memristor-based Reconfigurable Circuits: Challenges in Implementation
Dao, N. & Koch, D., 2 Apr 2020, IEEE Xplore. 6 p.Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
Minimizing the cost of iterative compilation with active learning
Ogilvie, W. F., Petoumenos, P., Wang, Z. & Leather, H., 23 Feb 2017, CGO 2017 - Proceedings of the 2017 International Symposium on Code Generation and Optimization. Reddi, V. J., Smith, A. & Tang, L. (eds.). IEEE, p. 245-256 12 p. 7863744. (CGO 2017 - Proceedings of the 2017 International Symposium on Code Generation and Optimization).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
Mismatch Compensation Technique for Inverter-Based CMOS Circuits
Mroszczyk, P. & Pavlidis, V., 2018, IEEE International Symposium on Circuits and Systems.Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
MLP-aware instruction queue resizing: the key to power-efficient performance
Petoumenos, P., Psychou, G., Kaxiras, S., Cebrian Gonzalez, J. M. & Aragon, J. L., 1 Dec 2010, Architecture of computing systems - ARCS 2010: 23rd international conference Hannover, Germany, February 22-25, 2010 proceedings. Müller-Schloer, C., Karl, W. & Yehia, S. (eds.). Berlin, Heidelberg, New York: Springer Nature, p. 113-125 13 p. (Lecture Notes in Computer Science; vol. 5974).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
Modeling cache sharing on chip multiprocessor architectures
Petoumenos, P., Keramidas, G., Zeffer, H., Kaxiras, S. & Hagersten, E., 1 Dec 2006, Proceedings of the 2006 IEEE International Symposium on Workload Characterization, IISWC - 2006. IEEE, p. 160-171 12 p. 4086144Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
Modeling populations of spiking neurons for fine timing sound localization
Liu, Q., Patterson, C., Furber, S., Huang, Z., Hou, Y. & Zhang, H., 2013, Proceedings of the International Joint Conference on Neural Networks|Proc Int Jt Conf Neural Networks. USA: IEEEResearch output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
Modelling circuit performance variations due to statistical variability: Monte Carlo static timing analysis
Merrett, M., Asenov, P., Wang, Y., Zwolinski, M., Reid, D., Millar, C., Roy, S., Liu, Z., Furber, S. & Asenov, A., 2011, Proceedings -Design, Automation and Test in Europe, DATE|Proc. Des. Autom. Test Eur. DATE. USA: IEEE, p. 1537-1540 3 p.Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Accepted/In press
Moving Compute towards Data in Heterogeneous multi-FPGA Clusters using Partial Reconfiguration and I/O Virtualisation
Pham, K., Koch, D., Vaishnav, A., Georgopoulos, K., Malakonakis, P., Ioannou, A. & Mavroidis, I., 2 Nov 2020, (Accepted/In press) 2020 International Conference on Field-Programmable Technology (ICFPT). Maui, Hawaii, USResearch output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
Multiplexing AER asynchronous channels over LVDS links with flow-control and clock-correction for scalable neuromorphic systems
Yousefzadeh, A., Jabłoński, M., Iakymchuk, T., Linares-Barranco, A., Rosado, A., Plana, L. A., Serrano-Gotarredona, T., Furber, S. & Linares-Barranco, B., 2017, 2017 IEEE International Symposium on Circuits and Systems (ISCAS). IEEEResearch output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
Network traffic exploration on a many-core computing platform: SpiNNaker real-time traffic visualiser
Liu, G., Camilleri, P., Furber, S. & Garside, J., 9 Sep 2015, 2015 11th Conference on Ph.D. Research in Microelectronics and Electronics, PRIME 2015. IEEE, p. 228-231 4 p. 7251376Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
Network-on-Chip Evaluation for a Novel Neural Architecture
Kynigos, M., Navaridas, J., Plana, L. A. & Furber, S., 2018, Computing frontiers conference.Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
Neuromorphic sampling on the SpiNNaker and parallella chip multiprocessors
Mendat, D. R., Chin, S., Furber, S. & Andreou, A. G., 14 Apr 2016, LASCAS 2016 - 7th IEEE Latin American Symposium on Circuits and Systems, R9 IEEE CASS Flagship Conference. IEEE, p. 399-402 4 p. 7451094Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
Noisy softplus: A biology inspired activation function
Liu, Q. & Furber, S., 2016, Neural Information Processing - 23rd International Conference, ICONIP 2016, Proceedings. Cham: Springer Nature, p. 405-412 8 p. (Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics); vol. 9950 LNCS).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
NUMA-aware scheduling and memory allocation for data-flow task-parallel applications
Drebes, A., Pop, A., Heydemann, K., Drach, N. & Cohen, A., 2016, Proceedings of the 21st ACM SIGPLAN Symposium on Principles and Practice of Parallel Programming, PPoPP 2016, Barcelona, Spain, March 12-16, 2016.Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
On generating multicast routes for spinnaker
Navaridas, J., Luján, M., Plana, L. A., Temple, S. & Furber, S. B., 2014, Proceedings of the 11th ACM Conference on Computing Frontiers, CF 2014|Proc. ACM Conf. Comput. Front., CF. New York, NY, USA: Association for Computing MachineryResearch output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
On the Future of Research VMs: A Hardware/Software Perspective
Zakkak, F., Nisbet, A., Mawer, J., Hartley, T., Foutris, N., Papadakis, O., Andronikakis, A., Apreotesei, I. & Kotselidis, C., 9 Apr 2018, On the Future of Research VMs: A Hardware/Software Perspective. p. 51-53Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
On the HLS Design of Bit-Level Operations and Custom Data Types
Garcia Ordaz, J. R. & Koch, D., 27 Oct 2017, International Workshop on FPGAs for Software Programmers.Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
On-chip and inter-chip networks for modelling large-scale neural systems
Furber, S. B., Temple, S. & Brown, A., 2006, Proceedings - IEEE International Symposium on Circuits and Systems. p. 1945-1948 4 p. 1692992Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
On-chip order-exploiting routing table minimization for a multicast supercomputer network
Mundy, A., Heathcote, J. & Garside, J. D., 28 Jul 2016, IEEE 17th International Conference on High Performance Switching and Routing, HPSR 2016. IEEE Computer Society , Vol. 2016-July. p. 148-154 7 p. 7525659. (IEEE Workshop on High Performance Switching and Routing).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
Optimal Connectivity In Hardware-Targeted MLP Networks
Rast, A., Welbourne, SR., Jin, X. & Furber, S., Jun 2009, Proceedings 2009 International Joint Conference on Neural Networks, IJCNN2009. p. 2619-2626 8 p.Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
Optimal connectivity in hardware-targetted MLP networks
Rast, A. D., Welbourne, S., Jin, X. & Furber, S. B., 2009, Proceedings of the International Joint Conference on Neural Networks|Proc Int Jt Conf Neural Networks. USA: IEEE, p. 2619-2626 7 p.Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
Optimised Synthesis of Asynchronous Elastic Dataflows by Leveraging Clocked EDA
Jelodari Mamaghani, M., Garside, J. D., Toms, W. B. & Edwards, D., 27 Aug 2014, Digital System Design (DSD), 2014 17th Euromicro Conference on. USA: IEE, p. 604-617 14 p.Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
DOI: 10.1109/DSD.2014.98 - Published
Optimising Dynamic Binary Modification across 64-bit Arm Microarchitectures
Callaghan, G., Gorgovan, C. & Luján, M., 17 Mar 2020, Proceedings of the 16th ACM SIGPLAN/SIGOPS International Conference on Virtual Execution Environments (VEE ’20). Association for Computing Machinery, 13 p.Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
Optimising Dynamic Binary Modification Across ARM Microarchitectures
Gorgovan, C., d'Antras, A. & Luján, M., 2018, ICPE 2018 - ACM/SPEC International Conference on Performance Engineering .Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
Optimising the overall power usage on the SpiNNaker neuromimetic platform
Stromatias, E., Patterson, C. & Furber, S., 6 Jul 2014, Proc. Neural Networks (IJCNN), 2014 International Joint Conference on. USA: IEEE, p. 4280-4287 8 p.Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
ORB-SLAM-CNN: Lessons in Adding Semantic Map Construction to Feature-Based SLAM
Webb, A. M., Brown, G. & Luján, M., 2019, Towards Autonomous Robotic Systems - 20th Annual Conference, TAROS 2019, Proceedings. Althoefer, K., Konstantinova, J. & Zhang, K. (eds.). Springer Nature, p. 221-235 15 p. (Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics); vol. 11649 LNAI).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
OS Support for Thread Migration and Distribution in the Fully Heterogeneous Datacenter
Olivier, P., Kim, S. & Ravindran, B., 1 May 2017, HotOS '17: Proceedings of the 16th Workshop on Hot Topics in Operating Systems. Association for Computing Machinery, p. 174-179Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
Parallel Hardware Merge Sorter
Song, W., Koch, D., Lujan, M. & Garside, J., 16 Aug 2016, 24th IEEE International Symposium on Field-Programmable Custom Computing Machines, FCCM 2016. IEEE, p. 95-102 8 p. 7544757Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
DOI: 10.1109/FCCM.2016.34 - Published
PCIeHLS: an OpenCL HLS framework
Vesper, M., Koch, D. & Pham, K., 29 Oct 2017, Proceedings of FPGAs for Software Programmers (FSP 2017) conference.Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
Placing partially reconfigurable stream processing applications on FPGAs
Koch, D., Cheung, P. (ed.), Luk, W. (ed.) & Silvano, C. (ed.), 2 Sep 2015, Prooceedings of the 25th International Conference on Field Programmable Logic and Applications (FPL 2015). Cheung, P., Luk, W. & Silvano, C. (eds.). IEEE, p. 1-4 4 p.Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
PMThreads: Persistent Memory Threads Harnessing Versioned Shadow Copies
Wu, Z., Lu, K., Nisbet, A., Zhang, W. & Luján, M., 11 Jun 2020, Proceedings of the 41st ACM SIGPLAN International Conference on Programming Language Design and Implementation (PLDI ’20). Donaldson, A. F. & Torlak, E. (eds.). Association for Computing Machinery, p. 623-637 15 p. (Proceedings of the ACM SIGPLAN Conference on Programming Language Design and Implementation (PLDI)).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
Population-based routing in the SpiNNaker neuromorphic architecture
Davies, S., Navaridas, J., Galluppi, F. & Furber, S., 2012, Proceedings of the International Joint Conference on Neural Networks|Proc Int Jt Conf Neural Networks.Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
Portable module relocation and bitstream compression for Xilinx FPGAs
Koch, D., Herkersdorf, A. (ed.), Wehn, N. (ed.) & Hubner, M. (ed.), Sep 2014, Proceedings of the 24th International Conference on Field Programmable Logic and Applications. Herkersdorf, A., Wehn, N. & Hubner, M. (eds.). USA: IEEE, p. 1-8 8 p.Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
Power analysis of large-scale, real-time neural networks on SpiNNaker
Stromatias, E., Galluppi, F., Patterson, C. & Furber, S., 4 Aug 2013, Proceedings of the International Joint Conference on Neural Networks|Proc Int Jt Conf Neural Networks. USA: IEEE, p. 1570-1577 8 p.Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
Power capping: What works, what does not
Petoumenos, P., Mukhanov, L., Wang, Z., Leather, H. & Nikolopoulos, D. S., 15 Jan 2016, Proceedings - 2015 IEEE 21st International Conference on Parallel and Distributed Systems, ICPADS 2015. IEEE Computer Society , p. 525-534 10 p. 7384335. (Proceedings of the International Conference on Parallel and Distributed Systems - ICPADS; vol. 2016-January).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
Powerhammering through Glitch Amplification – Attacks and Mitigation
Mätas, K., La, T., Pham, K. & Koch, D., 1 May 2020, Proceedings - 28th IEEE International Symposium on Field-Programmable Custom Computing Machines, FCCM 2020. p. 65-69 5 p. 9114608. (Proceedings - 28th IEEE International Symposium on Field-Programmable Custom Computing Machines, FCCM 2020).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
Preventing denial-of-service attacks in shared CMP caches
Keramidas, G., Petoumenos, P., Kaxiras, S., Antonopoulos, A. & Serpanos, D., 2006, Embedded computer systems: architectures, modeling, and simulation: 6th international workshop, SAMOS 2006, Samos, Greece, July 17-20, 2006 proceedings. Vassiliadis, S., Wong, S. & Hämäläinen, T. D. (eds.). Berlin, Heidelberg, New York: Springer Nature, p. 359-372 14 p. (Lecture notes in computer science; vol. 4017).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
DOI: 10.1007/11796435_37 - Published
Project Beehive: A Hardware/Software Co-designed Stack for Runtime and Architectural Research
Kotselidis, C., Rodchenko, A., Barrett, C., Nisbet, A., Mawer, J., Toms, W., Clarkson, J., Gorgovan, C., D'Antras, A., Cakmakci, Y., Stratikopoulos, T., Werner, S., Garside, J., Navaridas Palma, J., Pop, A., Goodacre, J. & Lujan, M., 2015, host publication.Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
Prospects for Low-power Acceleration of HPC Workloads in EuroExa: FPGA Acceleration of a Numerical Weather Forecast Code
Ashworth, M., Riley, G., Attwood, A. & Mawer, J., 15 May 2019, Proceedings of the 2019 Emerging Technology Conference. Bane, M. K. & Holmes, V. (eds.). EMiT, University of Huddersfield, High End Compute Ltd and University of Manchester, p. 12-15Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
PyDVS: An extensible, real-time Dynamic Vision Sensor emulator using off-the-shelf hardware
Garcia, G. P., Camilleri, P., Liu, Q. & Furber, S., 9 Feb 2017, 2016 IEEE Symposium Series on Computational Intelligence, SSCI 2016. IEEE, 7850249Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
Rapid Overlay Builder for Xilinx FPGA
Koch, D., Shannon, L. (ed.) & Andrews, D. (ed.), 2 May 2015, Prooceedings of the 23rd IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, FCCM 2015. Shannon, L. & Andrews, D. (eds.). IEEE Computer Society , Vol. 23. p. 17-20 4 p.Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
DOI: 10.1109/FCCM.2015.48 - Published
Real time on-chip implementation of dynamical systems with spiking neurons
Galluppi, F., Davies, S., Furber, S., Stewart, T. & Eliasmith, C., 2012, Proceedings of the International Joint Conference on Neural Networks|Proc Int Jt Conf Neural Networks. IEEEResearch output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
Real-time event-driven spiking neural network object recognition on the SpiNNaker platform
Orchard, G., Lagorce, X., Posch, C., Furber, S., Benosman, R. & Galluppi, F., 27 Jul 2015, Proceedings - IEEE International Symposium on Circuits and Systems. IEEE, Vol. 2015-July. p. 2413-2416 4 p. 7169171Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
Real-time interface board for closed-loop robotic tasks on the SpiNNaker neural computing system
Denk, C., Llobet-Blandino, F., Galluppi, F., Plana, L. A., Furber, S. & Conradt, J., 2013, Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics)|Lect. Notes Comput. Sci.: Lecture Notes in Computer Science. Berlin Heidelberg: Springer Nature, Vol. 8131. p. 467-474 7 p.Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Accepted/In press
Receive-Side Notification for Enhanced RDMA in FPGA Based Networks
Lant, J., Attwood, A., Navaridas, J., Luján, M. & Goodacre, J., 14 Feb 2019, (Accepted/In press) International Conference on Architecture of Computing Systems.Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
Register locking in an asynchronous microprocessor
Paver, N. C., Day, P., Furber, S. B., Garside, J. D. & Woods, J. V., 15 Oct 1992, Proceedings 1992 IEEE International Conference on Computer Design: VLSI in Computers & Processors. Cambridge, MA: IEEE, p. 351-355 5 p.Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
Representing and decoding rank order codes using polychronization in a network of spiking neurons
Galluppi, F. & Furber, S., 2011, Proceedings of the International Joint Conference on Neural Networks|Proc Int Jt Conf Neural Networks. USA: IEEE, p. 943-950 7 p.Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
Resource Elastic Database Acceleration
Manev, K. & Koch, D., 4 Sep 2020, 30th International Conference on Field Programmable Logic and Application (FPL). Gothenburg, SwedenResearch output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
Resource Elastic Virtualization for FPGAs using OpenCL
Vaishnav, A., Pham, K., Koch, D. & Garside, J., 6 Dec 2018, 28th International Conference on Field Programmable Logic and Application (FPL). Dublin, Ireland, 8 p. (International Conference on Field Programmable Logic and Applications).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
Return of asynchronous logic
Furber, S. B., 1996, IEEE International Test Conference (TC). IEEE, p. 938 1 p.Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
Robustness to Noisy Synaptic Weights in Spiking Neural Networks
Li, C., Chen, R., Moutafis, C. & Furber, S., 28 Sep 2020, 2020 International Joint Conference on Neural Networks (IJCNN). Glasgow, United Kingdom, United Kingdom: IEEE, p. 1-8 8 p.Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
Scalability analysis of optical Beneš networks based on thermally/electrically tuned Mach-Zehnder interferometers
Kynigos, M., Pascual Saiz, J., Navaridas, J., Luján, M. & Goodacre, J., 13 Oct 2019, NoCArc: Proceedings of the 12th International Workshop on Network on Chip Architectures. Association for Computing Machinery, p. 1-6 6 p. 9Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
Scalable Energy-Efficient, Low-Latency Implementations of Spiking Deep Belief Networks on SpiNNaker
Stromatias, E., Neil, D., Galluppi, F., Pfeiffer, M., Liu, S-C. & Furber, S., 2015, host publication. IEEEResearch output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
Scalable event-driven native parallel processing: The SpiNNaker neuromimetic system
Rast, A. D., Jin, X., Galluppi, F., Plana, L. A., Patterson, C. & Furber, S., 2010, CF 2010 - Proceedings of the 2010 Computing Frontiers Conference|CF - Proc. Comput. Front. Conf.. New York, USA: Association for Computing Machinery, p. 21-30 9 p.Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Accepted/In press
Scalable Filtering Modules for Database Acceleration on FPGAs
Manev, K., Vaishnav, A., Kritikakis, C. & Koch, D., 4 May 2019, (Accepted/In press) 10th International Symposium on Highly Efficient Accelerators and Reconfigurable Technologies (HEART).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
Scalable Processing through Software Threading
Goodacre, J., 2006, host publication. MPSocResearch output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
Scalable Task Parallelism for NUMA: A Uniform Abstraction for Coordinated Scheduling and Memory Management
Drebes, A., Pop, A., Heydemann, K., Cohen, A. & Drach, N., 11 Sep 2016, International Conference on Parallel Architecture and Compilation Techniques. p. 125-137Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
Scaling Mobile Compute to the Data Centre
Goodacre, J., 2013, host publication. IEEEResearch output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
Scaling the Capacity of Memory Systems; Evolution and Key Approaches
Paraskevas, K., Attwood, A., Luján, M. & Goodacre, J., 1 Oct 2019, Proceedings of the International Symposium on Memory Systems, MEMSYS 2019. Washington, District of Columbia — September 30 - October 03, 2019: Association for Computing Machinery, 15 p.Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
ScootR: Scaling R Dataframes on Dataflow Systems
Kunft, A., Stadler, L., Bonetta, D., Basca, C., Meiners, J., Bress, S., Rabl, T., Fumero Alfonso, J. & Markl, V., 11 Nov 2018, ScootR: Scaling R Dataframes on Dataflow Systems.Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Accepted/In press
Secure and Efficient In-process Monitor (and Library) Protection with Intel MPK
Wang, X., Yeoh, S., Olivier, P. & Ravindran, B., 20 Mar 2020, (Accepted/In press) Proceedings of the 13th European Workshop on Systems Security (EuroSec 2020).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Accepted/In press
Securing FPGA Accelerators at the Electrical Level for Multi-tenant Platforms
La, T., Mätas, K., Pham, K. & Koch, D., 2020, (Accepted/In press) 30th International Conference on Field-Programmable Logic and Applications (FPL).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
SimAcc: A Configurable Cycle-Accurate Simulator for Customized Accelerators on CPU-FPGAs SoCs
Iordanou, K., Palomar, O., Mawer, J., Gorgovan, C., Nisbet, A. & Luján, M., 13 Jun 2019, IEEE 27th Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM). IEEE, (2019 IEEE 27th Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM)).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
Simple Tuning Algorithm improvements for fuzzy logic controllers
Ortiz-De-La-Vega, H. A., Gomez-Ramirez, E. & Cortes-Rios, J. C., 25 Nov 2010, 2010 IEEE World Congress on Computational Intelligence, WCCI 2010. 5584852Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Accepted/In press
Simulating Wear-out Effects of Asymmetric Multicores at the Architecture Level
Foutris, N., Kotselidis, C. & Luján, M., 22 Jul 2019, (Accepted/In press) 32nd IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems. IEEEResearch output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
SLAMBench 3.0: Systematic Automated Reproducible Evaluation of SLAM Systems for Robot Vision Challenges and Scene Understanding
Bujanca, M., Gafton, P., Saeedi, S., Nisbet, A., Bodin, B., O'Boyle, M. F., Davison, A. J., Kelly, P. H., Riley, G., Lennox, B., Luján, M. & Furber, S., 12 Aug 2019, 2019 International Conference on Robotics and Automation (ICRA). IEEE, (2019 International Conference on Robotics and Automation (ICRA)).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
SLAMBench2: Multi-Objective Head-to-Head Benchmarking for Visual SLAM
Bodin, B., Wagstaff, H., Saecdi, S., Nardi, L., Vespa, E., Mawer, J., Nisbet, A., Lujan, M., Furber, S., Davison, A. J., Kelly, P. H. J. & O'Boyle, M. F. P., 10 Sep 2018, 2018 IEEE International Conference on Robotics and Automation, ICRA 2018. IEEE, p. 3637-3644 8 p. 8460558Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
SlimGuard: A Secure and Memory-Efficient Heap Allocator
Liu, B., Olivier, P. & Ravindran, B., 9 Dec 2019, Middleware '19: Proceedings of the 20th International Middleware Conference . Association for Computing Machinery, p. 1-13Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
Smart devices panel session—Integrating the real world interfaces
Jerraya, A. & Goodacre, J., 14 Mar 2011, host publication. IEEE, p. 1Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
Soft-NEON: A study on replacing the NEON engine of an ARM SoC with a reconfigurable fabric
Garcia Ordaz, J. R. & Koch, D., 28 Nov 2016, 2016 IEEE 27th International Conference on Application-Specific Systems, Architectures and Processors, ASAP 2016. IEEE, Vol. 2016-November. p. 229-230 2 p. 7760802Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Accepted/In press
Sound C Code Decompilation for a subset of x86-64 Binaries
Verbeek, F., Olivier, P. & Ravindran, B., 7 Jul 2020, (Accepted/In press) Proceedings of The 18th edition of the International Conference on Software Engineering and Formal Methods (SEFM).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
Spike-based learning of transfer functions with the SpiNNaker neuromimetic simulator
Davies, S., Stewart, T., Eliasmith, C. & Furber, S., 2013, Proceedings of the International Joint Conference on Neural Networks|Proc Int Jt Conf Neural Networks. USA: IEEEResearch output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
SpiNNaker: A multi-core system-on-chip for massively-parallel neural net simulation
Painkras, E., Plana, L. A., Garside, J., Temple, S., Davidson, S., Pepper, J., Clark, D., Patterson, C. & Furber, S., 2012, Proceedings of the Custom Integrated Circuits Conference|Proc Custom Integr Circuits Conf. IEEEResearch output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
SpiNNaker: Distributed Computer Engineering for Neuromorphics
Lester, D. & Furber, S., 2011, Neural Nets WIRN11 - Proceedings of the 21st Italian Workshop on Neural Nets. IOS Press, Vol. 234.2011. p. 324-331 8 p.Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
SpiNNaker: Impact of traffic locality, causality and burstiness on the performance of the interconnection network
Navaridas, J., Plana, L. A., Miguel-Alonso, J., Luján, M. & Furber, S. B., 2010, CF 2010 - Proceedings of the 2010 Computing Frontiers Conference|CF - Proc. Comput. Front. Conf.. New York, USA: Association for Computing Machinery, p. 11-19 8 p.Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
SpiNNaker: Mapping neural networks onto a massively-parallel chip multiprocessor
Khan, M. M., Lester, D. R., Plana, L. A., Rast, A., Jin, X., Painkras, E. & Furber, S. B., 2008, Proceedings of the International Joint Conference on Neural Networks|Proc Int Jt Conf Neural Networks. IEEE Computer Society , p. 2849-2856 7 p.Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
SpinNNaker: The world's biggest NoC
Furber, S., 1 Sep 2014, Networks-on-Chip (NoCS), 2014 Eighth IEEE/ACM International Symposium on. p. ii-iiResearch output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
Starting a push towards European Exascale: exaNODE/exaNEST/ecoSCALE and more
Goodacre, J., 2015, host publication.Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
STDP pattern onset learning depends on background activity
Humble, J., Furber, S., Denham, S. L. & Wennekers, T., 2011, From Brains to Systems: Proceedings of Brain-Inspired Cognitive Systems 2010. p. 19-31 13 p. (Advances in Experimental Medicine and Biology; vol. 718).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
Subchannel Scheduling for Shared Optical On-chip Buses
Werner, S., Navaridas, J. & Luján, M., 2017, Hot Interconnects 2017.Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
DOI: 10.1109/HOTI.2017.18 - Accepted/In press
SyNERGY: An energy measurement and prediction framework for Convolutional Neural Networks on Jetson TX1
Rodrigues, C., Riley, G. & Luján, M., 24 Jun 2018, (Accepted/In press) PDPTA'18 - The 24th International Conference on Parallel and Distributed Processing Techniques and Applications .Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
Synthesizing benchmarks for predictive modeling
Cummins, C., Petoumenos, P., Wang, Z. & Leather, H., 23 Feb 2017, CGO 2017 - Proceedings of the 2017 International Symposium on Code Generation and Optimization. Reddi, V. J., Smith, A. & Tang, L. (eds.). IEEE, p. 86-99 14 p. 7863731. (CGO 2017 - Proceedings of the 2017 International Symposium on Code Generation and Optimization).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
Targeted execution enabling increased power efficiency
Goodacre, J., 2009, host publication. MPSocResearch output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
The amulet chips: Architectural development for asynchronous microprocessors
Garside, J. D., Furber, S. B., Temple, S. & Woods, J. V., 2009, 2009 16th IEEE International Conference on Electronics, Circuits and Systems, ICECS 2009|IEEE Int. Conf. Electron., Circuits Syst., ICECS. USA: IEEE, p. 343-346 3 p.Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
The ARM Multiprocessor Architecture
Goodacre, J., 2003, host publication: MPSoc .Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
The Design and Test of a Smartcard Chip Using a CHAIN Self-Timed Network-on-Chip
Bainbridge, W. J., Plana, L. A. & Furber, S. B., Feb 2004, Proceedings - Design, Automation and Test in Europe Conference and Exhibition. Lindwer, M., Gerousis, V. & Fifueras, J. (eds.). IEEE Computer Society , Vol. 3. p. 274-279 6 p. 1269249Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
The Design of a Low Power Asynchronous Multiplier
Liu, Y. & Furber, S., 2004, Proceedings of the International Symposium on Low Power Electronics and Design. January ed. IEEE, Vol. 2004-January. p. 301-306 6 p. 1349355Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
The Effect and Technique of System Coherence in ARM Multicore Technology
Goodacre, J., 2008, host publication. MPSocResearch output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
The evolution of the ARM architecture towards big data and the data-centre
Goodacre, J., 2013, VHPC '13 Proceedings of the 8th Workshop on Virtualization in High-Performance Cloud Computing. Association for Computing MachineryResearch output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Accepted/In press
The FOS (FPGA Operating System) Demo
Vaishnav, A., Pham, K., Manev, K. & Koch, D., 22 May 2019, (Accepted/In press) 29th International Conference on Field Programmable Logic and Application (FPL). Barcelona, SpainResearch output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
The homogeneity of architecture in a heterogeneous world
Goodacre, J., 2012, host publication. IEEEResearch output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
The Impact of Technology Scaling in the SpiNNaker Chip Multiprocessor
Painkras, E. & Furber, S., Feb 2012, Proc. 7th Int. Workshop on Unique Chips and Systems.Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
The Leaky Integrate-and-Fire neuron: A platform for synaptic model exploration on the SpiNNaker chip
Rast, A. D., Galluppi, F., Jin, X. & Furber, S. B., 2010, Proceedings of the International Joint Conference on Neural Networks|Proc Int Jt Conf Neural Networks. USA: IEEEResearch output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
The Next Generation of Exascale-Class Systems: The ExaNeSt Project
Ammendola, R., Biagioni, A., Cretaro, P., Frezza, O., Lo Cicero, F., Lonardo, A., Martinelli, M., Paolucci, P. S., Pastorelli, E., Simula, F., Vicini, P., Taffoni, G., Pascual, J. A., Navaridas, J., Luján, M., Goodacree, J., Chrysos, N. & Katevenis, M., 25 Sep 2017, Proceedings - 20th Euromicro Conference on Digital System Design, DSD 2017. Novotny, M., Kubatova, H. & Skavhaug, A. (eds.). IEEE, p. 510-515 6 p. 8049832Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
DOI: 10.1109/DSD.2017.20 - Published
The Potential of Dynamic Binary Modification and CPU-FPGA SoCs for Simulation
Mawer, J., Palomar, O., Gorgovan, C., Nisbet, A. & Luján, M., 3 Jul 2017, The 25th IEEE International Symposium on Field-Programmable Custom Computing Machines.Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
DOI: 10.1109/FCCM.2017.36 - Published
The role of the ARM architecture in Heterogenous Computing
Goodacre, J., 2012, host publication.Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
The SpiNNaker project
Furber, S., 2016, Unconventional computation and natural computation : 15th International Conference, UCNC 2016, Manchester, UK, July 11-15, 2016, Proceedings. Springer Nature, Vol. 9726. (Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics); vol. 9726).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
Towards Co-designed Optimizations in Parallel Frameworks: A MapReduce Case Study
Barrett, C., Kotselidis, C. & Luján, M., 2016, Proceedings of the ACM International Conference on Computing Frontiers. New York, NY, USA: Association for Computing Machinery, p. 172-179 8 p. (CF '16).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
Towards Practical Heterogeneous Virtual Machines
Clarkson, J., Fumero, J., Papadimitriou, M., Xekalaki, M. & Kotselidis, C., 2018, Towards Practical Heterogeneous Virtual Machines.Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
Towards prototyping and acceleration of Java programs onto intel FPGAS
Papadimitriou, M., Fumero, J., Stratikopoulos, A. & Kotselidis, C., 2019, Proceedings - 27th IEEE International Symposium on Field-Programmable Custom Computing Machines, FCCM 2019. IEEE, 1 p. 8735538. (Proceedings - 27th IEEE International Symposium on Field-Programmable Custom Computing Machines, FCCM 2019).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
Towards real–world neurorobotics: Integrated neuromorphic visual attention
Adams, S. V., Rast, A. D., Patterson, C., Galluppi, F., Brohan, K., Pérez-Carrasco, J. A., Wennekers, T., Furber, S. & Cangelosi, A., 2014, Neural Information Processing - 21st International Conference, ICONIP 2014, Proceedings. Springer Nature, Vol. 8836. p. 563-570 8 p. (Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics); vol. 8836).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
Towards Real-World Neurorobotics: Integrated Neuromorphic Visual Attention
Adams, S. V., Rast, A. D., Patterson, C., Galluppi, F., Brohan, K., Pérez-Carrasco, J-A., Wennekers, T., Furber, S. & Cangelosi, A., 3 Nov 2014, 21st International Conference, ICONIP 2014, Proceedings, Part III. Springer International Publishing Switzerland: Springer Nature, Vol. 8836. p. 563-570 8 p. (Neural Information Processing, Lecture Notes in Computer Science).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
Transient fault tolerant QDI interconnects using redundant check code
Zhang, G., Song, W., Garside, J. D., Navaridas, J. & Wang, Z., 2013, Proceedings - 16th Euromicro Conference on Digital System Design, DSD 2013|Proc. - Euromicro Conf. Digit. Syst. Des., DSD. IEEE Computer Society , p. 3-10 7 p.Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
DOI: 10.1109/DSD.2013.11 - Published
Transport-Independent Protocols for Universal AER Communications
Rast, A., Stokes, A. B., Davies, S., Adams, S. V., Akolkar, H., Lester, D. R., Bartolozzi, C., Cangelosi, A. & Furber, S., 18 Nov 2015, Neural Information Processing. Springer International Publishing Switzerland: Springer Nature, Vol. 9492. p. 675-684 10 p. (Lecture Notes in Computer Science).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
TruffleWasm: A WebAssembly Interpreter on GraalVM
Salim, S., Nisbet, A. & Luján, M., 17 Mar 2020, Proceedings of the ACM SIGPLAN/SIGOPS International Conference on Virtual Execution Environments (VEE'20). Association for Computing Machinery, 13 p.Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
Ultra-Low Swing CMOS Transceiver for 2.5-D Integrated Systems
Mroszczyk, P. & Pavlidis, V., 2018, 2018 19th International Symposium on Quality Electronic Design, ISQED 2018. p. 262-267 6 p. (Proceedings - International Symposium on Quality Electronic Design, ISQED; vol. 2018-March).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
Understanding the interconnection network of SpiNNaker
Navaridas, J., Luján, M., Miguel-Alonso, J., Plana, L. A. & Furber, S., 2009, Proceedings of the International Conference on Supercomputing|Proc Int Conf Supercomputing. Association for Computing Machinery, p. 286-295 9 p.Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
Understanding what those 250 million transistors are doing
Goodacre, J., 2011, host publication. MPSocResearch output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Accepted/In press
Unexpected Diversity: Quantitative Memory Analysis for Zynq UltraScale+ Systems
Manev, K., Vaishnav, A. & Koch, D., 7 Oct 2019, (Accepted/In press) International Conference on Field-Programmable Technology (FPT).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
Using value locality to reduce memory encryption overhead in embedded processors
Keramidas, G., Petoumenos, P., Antonopoulos, A., Kaxiras, S. & Serpanos, D. N., 1 Dec 2007, 12th IEEE International Conference on Emerging Technologies and Factory Automation, ETFA 2007 Proceedings. p. 632-637 6 p. 4416828. (IEEE International Conference on Emerging Technologies and Factory Automation, ETFA).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
Vectorization of Hybrid Breadth First Search on the Intel Xeon Phi
Paredes, M., Riley, G. & Luján, M., 1 May 2017, Proceedings of the ACM International Conference on Computing Frontiers. Association for Computing MachineryResearch output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Accepted/In press
Vectorization-Aware Loop Unrolling with Seed Forwarding
Rocha, R. C. O., Porpodas, V., Petoumenos, P., Góes, L. F. W., Wang, Z., Cole, M. & Leather, H., 23 Dec 2019, (Accepted/In press) Proceedings of the ACM SIGPLAN 2020 International Conference on Compiler Construction.Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
Visualising large-scale neural network models in real-time
Patterson, C., Galluppi, F., Rast, A. & Furber, S., 2012, Proceedings of the International Joint Conference on Neural Networks|Proc Int Jt Conf Neural Networks.Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
Where replacement algorithms fail: a thorough analysis
Keramidas, G., Petoumenos, P. & Kaxiras, S., May 2010, CF '10 : proceedings of the 7th ACM international conference on computing frontiers. New York, NY: Association for Computing Machinery, p. 141-150 10 p.Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
ZUCL 2.0: Virtualised Memory and Communication for ZYNQ UltraScale+ FPGAs
Pham, K., Paraskevas, K., Vaishnav, A., Attwood, A., Vesper, M. & Koch, D., 2019, FSP Workshop 2019; Sixth International Workshop on FPGAs for Software Programmers. VDE VerlagResearch output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Published
ZUCL: A ZYNQ UltraScale+ Framework for OpenCL HLS Applications
Pham, K., Vaishnav, A., Vesper, M. & Koch, D., 2018, Fifth International Workshop on FPGAs for Software Programmers (FSP 2018) .Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Accepted/In press
ZUCL2.0 Virtualised Memory and Communication for ZYNQ UltraScale+ FPGAs
Pham, K., Paraskevas, K., Vaishnav, A., Attwood, A., Vesper, M. & Koch, D., 7 Aug 2019, (Accepted/In press) Sixth International Workshop on FPGAs for Software Programmers (FSP 2019). VDE VerlagResearch output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
- Conference contribution › Research › Not peer-reviewed
- Published
A CMOS VLSI Implementation of an Asynchronous ALU
Garside, J. D., Furber, S. (ed.) & Edwards, M. (ed.), 1993, Asynchronous Design Methodologies. Furber, S. B. & Edwards, M. (eds.). Elsevier BV, Vol. A-28. p. 181-192 12 p. (IFIP Transactions).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
- Published
A low power embedded dataflow coprocessor
Liu, Y. & Furber, S., 2005, Proceedings - IEEE Computer Society Annual Symposium on VLSI - New Frontiers in VLSI|Proc. IEEE Comput. Soc. Annu. Symp. VLSI New Frontiers VLSI Design. Smailagic, A. & Ranganathan, N. (eds.). IEEE Computer Society , p. 246-247 1 p.Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
- Published
A micropipelined ARM
Furber, S. B., Day, P., Garside, J. D., Paver, N. C., Woods, J. V., Yanagawa, K. (ed.) & Ivey, P. A. (ed.), 1993, VLSI. Yanagawa, K. & Ivey, P. A. (eds.). Elsevier BV, Vol. A-42. p. 211-220 10 p. (IFIP Transactions).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
- Published
A new method for fast skeletonization of binary images on cellular processor arrays
Wang, B., Mroszczyk, P. & Dudek, P., 2014, 14th International Workshop on Cellular Nanoscale Networks and their Applications, CNNA 2014. IEEEResearch output: Chapter in Book/Report/Conference proceeding › Conference contribution
- Published
A spiking neural sparse distributed memory implementation for learning and predicting temporal sequences
Bose, J., Furber, S. B. & Shapiro, J. L., 2005, Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics)|Lect. Notes Comput. Sci.. Springer Nature, Vol. 3696. p. 115-120 5 p. (Lecture Notes in Computer Science).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
DOI: 10.1007/11550822_19 - Published
A system for transmitting a coherent burst of activity through a network of spiking neurons
Bose, J., Furber, S. B. & Shapiro, J. L., 2006, Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics)|Lect. Notes Comput. Sci.. Springer Nature, Vol. 3931. p. 44-48 4 p. (Lecture Notes in Computer Science).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
DOI: 10.1007/11731177_7 - Published
AMULET1: A Micropipelined ARM
Furber, S. B., Day, P., Garside, J. D., Paver, N. C. & Woods, J. V., 1994, COMPCON. p. 476-485 10 p.Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
- Published
AMULET2e: An asynchronous embedded controller
Furber, S. B., Garside, J. D., Temple, S., Liu, J., Day, P. & Paver, N. C., 1997, Proceedings of the International Symposium on Advanced Research in Asynchronous Circuits and Systems|Proc Int Symp Adv Res Asynchr Circuits Syst. Anon (ed.). Los Alamitos, CA, United States: IEEE, p. 290-299 9 p.Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
- Published
AMULET3: A 100 MIPS asynchronous embedded processor
Furber, S. B., Edwards, D. A. & Garside, J. D., 2000, Proceedings - IEEE International Conference on Computer Design: VLSI in Computers and Processors|Proc IEEE Int Conf Comput Des VLSI Comput Process. Piscataway, NJ, United States: IEEE, p. 329-334 5 p.Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
- Published
AMULET3i - An asynchronous system-on-chip
Garside, J. D., Bainbridge, W. J., Bardsley, A., Clark, D. M., Edwards, D. A., Furber, S. B., Liu, J., Lloyd, D. W., Mohammadi, S., Pepper, J. S., Petlin, O., Temple, S. & Woods, J. V., 2000, Proceedings - International Symposium on Asynchronous Circuits and Systems|Proc. Int. Symp. Asynchr. Circuits Syst.. IEEE Computer Society , p. 162-175 13 p.Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
- Published
An admission control system for QoS provision on a best-effort GALS interconnect
Yang, S., Furber, S. B., Shi, Y. & Plana, L. A., 2008, Proceedings - International Conference on Application of Concurrency to System Design, ACSD|Proc. Int. Conf. Appl. Concurrency Syst. Des. ACSD. IEEE, p. 200-207 7 p.Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
- Published
An Asynchronous Victim Cache
Hormdee, D., Garside, J. & Furber, S. B., 1 Sep 2002, Proceedings Euromicro Symposium on Digital System Design. IEEE Computer Society , p. 4-11 8 p.Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
- Published
An investigation into the security of self-timed circuits
Yu, Z. C., Furber, S. B. & Plana, L. A., 2003, Proceedings - International Symposium on Asynchronous Circuits and Systems|Proc. Int. Symp. Asynchr. Circuits Syst.. IEEE Computer Society , p. 206-215 9 p.Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
- Published
Asynchronous Design Methodologies, Proceedings of the IFIP WG10.5 Working Conference on Asynchronous Design Methodologies, Manchester, UK, 31 March - 2 April, 1993
Furber, S. B. (ed.) & Edwards, M. (ed.), 1993, IFIP Transactions. Elsevier BV, Vol. A-28. (IFIP Transactions).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
- Published
Asynchronous Macrocell Interconnect using MARBLE
Bainbridge, W. J. & Furber, S. B., 1998, ASYNC. IEEE Computer Society , p. 122-132 11 p.Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
- Published
Breaking step - The return of asynchronous logic
Furber, S. B., 1996, IEE Colloquium (Digest)|IEE Colloq Dig. IEEE Computer Society , p. 1/4Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
- Published
Built-in self-testing of micropipelines
Petlin, O. A. & Furber, S. B., 1997, Proceedings of the International Symposium on Advanced Research in Asynchronous Circuits and Systems|Proc Int Symp Adv Res Asynchr Circuits Syst. Anon (ed.). Los Alamitos, CA, United States: IEEE, p. 22-29 7 p.Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
- Published
Delay insensitive system-on-chip interconnect using 1-of-4 data encoding
Bainbridge, W. J. & Furber, S. B., 2001, Proceedings - International Symposium on Asynchronous Circuits and Systems|Proc. Int. Symp. Asynchr. Circuits Syst.. IEEE Computer Society , p. 118-126 8 p.Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
- Published
Delay-insensitive, point-to-point interconnect using M-of-N codes
Bainbridge, W. J., Toms, W. B., Edwards, D. A. & Furber, S. B., 2003, Proceedings - International Symposium on Asynchronous Circuits and Systems|Proc. Int. Symp. Asynchr. Circuits Syst.. p. 132-140 8 p.Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
- Published
Efficient modelling of spiking neural networks on a scalable chip multiprocessor
Jin, X., Furber, S. B. & Woods, J. V., 2008, Proceedings of the International Joint Conference on Neural Networks|Proc Int Jt Conf Neural Networks. IEEE, p. 2812-2819 7 p.Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
- Published
Enabling Low-Power, Fault-Resilient Communications in a Million-Core Neural Processing Architecture
Navaridas, J., Luján, M., Plana, L. A. & Furber, S., 2 Jun 2016, Emerging Technologies'16. Barcelona, p. 20-23Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
- Accepted/In press
Invited Tutorial: FPGA Hardware Security for Datacenters and Beyond
Mätas, K., La, T., Grunchevski, N., Pham, K. & Koch, D., 2019, (Accepted/In press) 28th ACM/SIGDA International Symposium on Field-Programmable Gate Arrays (FPGA).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
- Published
Living with failure: Lessons from nature?
Furber, S., 2006, Proceedings - Eleventh IEEE European Test Symposium, ETS 2006|Proc. Eleventh IEEE Eur. Test Symp.. IEEE Computer Society , Vol. 2006. p. 4-5 1 p.Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
DOI: 10.1109/ETS.2006.28 - Published
Maximising information recovery from rank-order codes - art. no. 65700C
Sen, B., Furber, S. & Dasarathy, B. V. (ed.), 2007, Conference on Data Mining, Intrusion Detection, Information Assurance and Data Networks Security 2007. Dasarathy, B. V. (ed.). SPIE, Vol. 6570. p. C5700-C5700Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
- Published
Minimizing the power consumption of an asynchronous multiplier
Liu, Y. & Furber, S., 2004, Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics)|Lect. Notes Comput. Sci.. Springer Nature, Vol. 3254. p. 289-300 11 p. (Lecture Notes in Computer Science).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
- Published
Modelling and Simulation of Asynchronous Systems Using the LARD Hardware Description Language
Endecott, P., Furber, S. B., Zobel, R. N. (ed.) & Möller, D. P. F. (ed.), 1998, ESM. Zobel, R. N. & Möller, D. P. F. (eds.). SCS Europe BVBA, p. 39-43 5 p.Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
- Published
Notes on pulse signaling
Ebergen, J., Furber, S., Saifhashemi, A., Nissar, N. & Chow, A., 2007, Proceedings - International Symposium on Asynchronous Circuits and Systems|Proc. Int. Symp. Asynchr. Circuits Syst.. IEEE Computer Society , p. 15-24 9 p.Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
- Published
On-chip and inter-chip networks for modeling large-scale neural systems
Furber, S. B., Temple, S. & Brown, A. D., 2006, ISCAS. IEEEResearch output: Chapter in Book/Report/Conference proceeding › Conference contribution
- Published
POSTER: A collaborative multi-factor scheduler for asymmetric multicore processors
Yu, T., Petoumenos, P., Janjic, V., Zhu, M., Leather, H. & Thomson, J., 2019, Parallel Architectures and Compilation Techniques - Conference Proceedings, PACT.Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
- Published
Scan testing of asynchronous sequential circuits
Petlin, O. A. & Furber, S. B., 1995, Proceedings of the IEEE Great Lakes Symposium on VLSI|Proc IEEE Great Lakes Symp VLSI. Los Alamitos, CA, United States: IEEE, p. 224-229 5 p.Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
- Published
Scan testing of micropipelines
Petlin, O. A. & Furber, S. B., 1995, Proceedings of the IEEE VLSI Test Symposium|Proc IEEE VLSI Test Symp. IEEE Computer Society , p. 296-301 5 p.Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
- Published
Spinnaker: The design automation problem
Brown, A., Lester, D., Plana, L. A., Furber, S. & Wilson, P., 2009, Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics)|Lect. Notes Comput. Sci.. Berlin / Heidelberg: Springer Nature, Vol. 5507. p. 1049-1056 7 p. (Lecture Notes in Computer Science).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
- Published
The deferred event model for hardware-oriented spiking neural networks
Rast, A., Jin, X., Khan, M. & Furber, S., 2009, Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics)|Lect. Notes Comput. Sci.. Springer Nature, Vol. 5507. p. 1057-1064 7 p. (Lecture Notes in Computer Science).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
- Published
The Design and Evaluation of an Asynchronous Microprocessor
Furber, S. B., Day, P., Garside, J. D., Paver, N. C., Temple, S. & Woods, J. V., 1994, ICCD. IEEE Computer Society , p. 217-220 4 p.Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
- Published
The design of a dataflow coprocessor for low power embedded hierarchical processing
Liu, Y., Furber, S. & Li, Z., 2006, Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics)|Lect. Notes Comput. Sci.. Springer Nature, Vol. 4148. p. 425-438 13 p. (Lecture Notes in Computer Science).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
DOI: 10.1007/11847083_41 - Published
The design of an asynchronous carry-lookahead adder based on data characteristics
Liu, Y. & Furber, S., 2005, Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics)|Lect. Notes Comput. Sci.. Springer Nature, Vol. 3728. p. 647-656 9 p. (Lecture Notes in Computer Science).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
DOI: 10.1007/11556930_66 - Published
The design of an asynchronous VHDL synthesizer
Tan, S. Y., Furber, S. B. & Yen, W. F., 1998, Proceedings -Design, Automation and Test in Europe, DATE|Proc. Des. Autom. Test Eur. DATE. IEEE Computer Society , p. 44-51 7 p.Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
- Published
Virtual synaptic interconnect using an asynchronous network-on-chip
Rast, A. D., Yang, S., Khan, M. & Furber, S. B., 2008, Proceedings of the International Joint Conference on Neural Networks|Proc Int Jt Conf Neural Networks. IEEE, p. 2727-2734 7 p.Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
- Chapter › Research › Peer-reviewed
- Published
A neural mass computational framework to study synaptic mechanisms underlying alpha and theta rhythms
Sen Bhattacharya, B. & Durrant, S. J., 3 Mar 2017, Computational Neurology and Psychiatry. Erdi, P., Sen Bhattacharya, B. & Cochran, A. (eds.). Germany: Springer Nature, Vol. 6. p. 405-427 12. (Springer Series in Bio-/Neuroinformatics; vol. 6).Research output: Chapter in Book/Report/Conference proceeding › Chapter › peer-review
- Published
An associative memory for the on-line recognition and prediction of temporal sequences
Bose, J., Furber, S. B. & Shapiro, J. L., 2005, Proceedings of the International Joint Conference on Neural Networks (IJCNN) 2005 : July 31 - August 4, 2005, Hilton Montréal Bonaventure Hotel, Montréal, Québec, Canada. IEEE, Vol. 2. p. 1223-1228 5 p.Research output: Chapter in Book/Report/Conference proceeding › Chapter › peer-review
- Published
Atomic computing - A different perspective on massively parallel problems
Brown, A., Mills, R., Reeve, J., Dugan, K. & Furber, S., 1 Jan 2014, Parallel Computing: Accelerating Computational Science and Engineering (CSE). IOS Press, Vol. 25. p. 334-343 10 p. (Advances in Parallel Computing; vol. 25).Research output: Chapter in Book/Report/Conference proceeding › Chapter › peer-review
- Published
Introduction (Chapter 1)
Sen Bhattacharya, B., Cochran, A. & Erdi, P., 3 Mar 2017, Computational neurology and neuropsychiatry. Erdi, P., Sen Bhattacharya, B. & Cochran, A. (eds.). Springer Nature, p. 1-10 1. (Springer Series in Bio-/Neuroinformatics; vol. 6).Research output: Chapter in Book/Report/Conference proceeding › Chapter › peer-review
- Published
Just-In-Time GPU Compilation for Interpreted Languages with Partial Evaluation
Fumero, J., Steuwer, M., Stadler, L. & Dubach, C., 2017, Proceedings of the 13th ACM SIGPLAN/SIGOPS International Conference on Virtual Execution Environments - VEE '17. New York, New York, USA: Association for Computing Machinery, p. 60-73 14 p. (Proceedings of the 13th ACM SIGPLAN/SIGOPS International Conference on Virtual Execution Environments - VEE '17).Research output: Chapter in Book/Report/Conference proceeding › Chapter › peer-review
- Published
Kinetic modelling of synaptic functions in the alpha rhythm neural mass model
Sen Bhattacharya, B., Coyle, D., Maguire, L. P. & Stewart, J., Sep 2012, Artificial Neural Networks and Machine Learning - ICANN 2012: 22nd International Conference on Artificial Neural Networks, Lausanne, Switzerland, September 11-14, 2012, Proceedings, Part I. Lausanne, Switzerland: Springer Nature, Vol. 7552. p. 645 652 p. (Lecture Notes in Computer Science).Research output: Chapter in Book/Report/Conference proceeding › Chapter › peer-review
- Published
Orexin and circadian influences in sleep and psychiatric disorders: a review of experimental and computational modelling studies
Joshi, A., Belle, M. D. C., Wong-Lin, K. & Piggins, H., 2015, Orexins and Sleep: molecular, functional, and clinical aspects. Sakurai, T., Monti, J. M. & Pandi-Perumal, S. R. (eds.). Springer Nature, p. 299-322 24 p.Research output: Chapter in Book/Report/Conference proceeding › Chapter › peer-review
- Published
The shroud of Turing
Furber, S. & Brown, A., 1 Jan 2015, Transforming Reconfigurable Systems: A Festschrift Celebrating the 60th Birthday of Professor Peter Cheung. Luk, W. & Constantinides, G. A. (eds.). Imperial College Press, p. 57-82 26 p.Research output: Chapter in Book/Report/Conference proceeding › Chapter › peer-review
- Chapter › Research › Not peer-reviewed
- Published
A Novel Framework for Utilising Multi-FPGAs in HPC Systems
Pham, K. & Koch, D., 10 Sep 2019, Heterogeneous Computing Architectures: Challenges and Vision. Terzo, O., Djemame, K., Scionti, A. & Pezuela, C. (eds.). Taylor & Francis, p. 153-170 18 p.Research output: Chapter in Book/Report/Conference proceeding › Chapter
- Published
A Universal Abstract-Time Platform for Real-Time Neural Networks
Rast, A. D., Khan, M. M., Jin, X., Plana, L. A., Furber, S., Rao, A. R. (ed.) & Cecchi, G. A. (ed.), 2012, The Relevance of the Time Domain to Neural Network Models: Springer Series in Cognitive and Neural Systems. 1 ed. Springer Nature, Vol. 3. p. 135-157Research output: Chapter in Book/Report/Conference proceeding › Chapter
- Published
Applications − Doing Stuff on the Machine
Bogdan, P. A., James, R., Guerra, G. F., Pineda García, G. & Sen-Bhattacharya, B., 30 Mar 2020, SpiNNaker: A Spiking Neural Network Architecture. Furber, S. & Bogdan, P. A. (eds.). 1 ed. Boston-Delft: Now Publishers Inc, p. 129-162 34 p.Research output: Chapter in Book/Report/Conference proceeding › Chapter
- Published
Asynchronous and self-timed processor design
Garside, J. & Furber, S., 2007, Processor Design: System-on-Chip Computing for ASICs and FPGAs|Proc. Des.: System-on-Chip Comp. for ASICs and FPGAs. Springer Nature, p. 367-389 22 p.Research output: Chapter in Book/Report/Conference proceeding › Chapter
- Published
Building SpiNNaker machines
Plana, L. A., Temple, S., Heathcote, J., Clark, D., Pepper, J., Garside, J. & Furber, S., 31 Mar 2020, SpiNNaker: a spiking neural network architecture. Furber, S. & Bogdan, P. (eds.). Now Publishers Inc, p. 53-78 26 p.Research output: Chapter in Book/Report/Conference proceeding › Chapter
- Published
High-Performance Multiprocessor System on Chip: Trends in Chip Architecture for the Mass Market
Goodacre, J., Aitken, R., Flautner, K., Hubner, M. (ed.) & Becker, J. (ed.), Dec 2010, Multiprocessor System-on-Chip: Hardware Design and Tool Integration. Springer Nature, p. 223-239Research output: Chapter in Book/Report/Conference proceeding › Chapter
- Published
Learning in neural networks
Bogdan, P. A., Pineda García, G., Hopkins, M., Jones, E., Knight, J. C. & Perrett, A., 31 Mar 2020, SpiNNaker: a spiking neural network architecture. Furber, S. & Bogdan, P. A. (eds.). Boston-Delft: Now Publishers Inc, p. 209-265 57 p.Research output: Chapter in Book/Report/Conference proceeding › Chapter
- Published
Neural systems engineering
Furber, S. & Temple, S., 2008, Studies in Computational Intelligence|Stud. Comput. Intell.. Springer Nature, Vol. 115. p. 763-796 33 p. (Studies in Computational Intelligence; vol. 115).Research output: Chapter in Book/Report/Conference proceeding › Chapter
- Published
Processing with a million cores: Applications, Tools and Techniques on the Road to Exascale Computing. 14th Biennial ParCo Conference, ParCo2011
Brown, A., Reeve, J., Furber, S. & Lester, D., 2012, Advances in Parallel Computing|Adv. Parallel Comput.: Applications, Tools and Techniques on the Road to Exascale Computing. Amsterdam, NL,: Elsevier BV, Vol. 22. p. 327-334 7 p.Research output: Chapter in Book/Report/Conference proceeding › Chapter
- Published
Processors
Garside, J. D., Sparsø, J. (ed.) & Furber, S. (ed.), 2001, Principles of Asynchronous Circuit Design - A Systems Perspective. Kluwer Academic PublishersResearch output: Chapter in Book/Report/Conference proceeding › Chapter
- Published
Stacks of Software Stacks
Rowley, A., Rhodes, O., Bogdan, P., Brenninkmeijer, C., Davidson, S., Fellows, D., Furber, S., Gait, A., Hopkins, M., Lester, D., Mikaitis, M., Plana, L. A. & Stokes, A., Mar 2020, SpiNNaker: A Spiking Neural Network Architecture. Furber, S. & Bogdan, P. (eds.). Now Publishers Inc, p. 79-128Research output: Chapter in Book/Report/Conference proceeding › Chapter
- Published
Temporal Adaptation -- asynchronicity in processor design
Furber, S., Garside, J. D., Wang, A. (ed.) & Naffziger, S. (ed.), 2008, Adaptive Techniques for Dynamic Processor Optimization Adaptive Techniques for Dynamic Processor Optimization Theory and Practice. Springer Nature, p. 229-247 19 p.Research output: Chapter in Book/Report/Conference proceeding › Chapter
- Published
The SpiNNaker chip
Garside, J. & Plana, L. A., 31 Mar 2020, SpiNNaker: a spiking neural network architecture. Furber, S. & Bogdan, P. (eds.). Now Publishers Inc, p. 17-52 36 p.Research output: Chapter in Book/Report/Conference proceeding › Chapter
- Meeting Abstract › Research › Peer-reviewed
- Published
Holiday sun exposure and photoprotection behaviour in the over-65s
Thornton, C., Marjanovic, E., Kift, R., Webb, A. R., Farrar, M. D. & Rhodes, L. E., 1 Sep 2020, In: British Journal of Dermatology. 183, p. 57-57Research output: Contribution to journal › Meeting Abstract › peer-review
- Published
i-Trache: A pilot study to develop a novel interactive mobile device app to guideresponders in a tracheostomy emergency
Donaldson, H., Pop, A., McGrath, B. & Ghani, H., 2018, In: Resuscitation. 130, p. e104Research output: Contribution to journal › Meeting Abstract › peer-review
- Letter › Research › Peer-reviewed
- Published
MSH2 Sequence Variations and Inherited Colorectal Cancer Susceptibility
Froggatt, N. J., Joyce, J. A., Evans, D. G. R., Lunt, P. W., Koch, D. J., Ponder, B. J. & Maher, E. R., 1 Jan 1996, In: European Journal of Cancer. 32A, 1, p. 178 1 p.Research output: Contribution to journal › Letter › peer-review
- Article › Research › Peer-reviewed
- Published
" Serial" effects in parallel models of reading
Chang, Y. N., Furber, S. & Welbourne, S., Jun 2012, In: Cognitive Psychology. 64, 4, p. 267-291 24 p.Research output: Contribution to journal › Article › peer-review
- Published
A forecast-based STDP rule suitable for neuromorphic implementation
Davies, S., Galluppi, F., Rast, A. D. & Furber, S. B., Aug 2012, In: Neural Networks. 32, p. 3-14 11 p.Research output: Contribution to journal › Article › peer-review
- Published
A framework for plasticity implementation on the SpiNNaker neural architecture
Galluppi, F., Lagorce, X., Stromatias, E., Pfeiffer, M., Plana, L. A., Furber, S. B. & Benosman, R. B., 20 Jan 2015, In: Frontiers in Neuroscience. 8, 429, 429.Research output: Contribution to journal › Article › peer-review
- Published
A GALS infrastructure for a massively parallel multiprocessor
Plana, L. A., Furber, S. B., Temple, S., Khan, M., Shi, Y., Wu, J. & Yang, S., Sep 2007, In: IEEE Design and Test of Computers. 24, 5, p. 454-463 9 p.Research output: Contribution to journal › Article › peer-review
DOI: 10.1109/MDT.2007.149 - Published
A GPS-Less Localization and Mobility Modelling (LMM) System for Wildlife Tracking
Naureen, A., Zhang, N., Furber, S. & Shi, Q., 2020, In: IEEE Access. 8, p. 102709-102732Research output: Contribution to journal › Article › peer-review
- Published
A Methodology for Estimating Performance and Power Consumption of Embedded Flash File Systems
Olivier, P., Boukhobza, J., Senn, E. & Ouarnoughi, H., 2 Aug 2016, In: ACM Transactions on Embedded Computing Systems. 15, 4, p. 1-25Research output: Contribution to journal › Article › peer-review
DOI: 10.1145/2903139 - Published
A multicast routing scheme for a universal spiking neural network architecture
Wu, J. & Furber, S., Mar 2010, In: Computer Journal. 53, 3, p. 280-288 8 p.Research output: Contribution to journal › Article › peer-review
- Published
A novel programmable parallel CRC circuit
Grymel, M. & Furber, S. B., Oct 2011, In: IEEE Transactions on Very Large Scale Integration (VLSI) Systems. 19, 10, p. 1898-1902 4 p., 5549980.Research output: Contribution to journal › Article › peer-review
- Published
A spiking neural network model of the Lateral Geniculate Nucleus on the SpiNNaker machine
Sen Bhattacharya, B., Serrano-Gotarredona, T., Balassa, L., Bhattacharya, A., Stokes, A., Rowley, A., Sugiarto, I. & Furber, S., 9 Aug 2017, In: Frontiers in Neuroscience. p. 1-18 18 p., 0454.Research output: Contribution to journal › Article › peer-review
- Published
A Survey on Optical Network-on-Chip Architectures
Werner, S., Navaridas, J. & Luján, M., 2018, In: ACM Computing Surveys .Research output: Contribution to journal › Article › peer-review
DOI: 10.1145/3131346 - Published
A thalamo-cortico-thalamic neural mass model to study alpha rhythms in Alzheimer's disease
Bhattacharya, B. S., Coyle, D. & Maguire, L. P., Aug 2011, In: Neural Networks. 24, 6, p. 631-45 15 p.Research output: Contribution to journal › Article › peer-review
- Published
Accuracy and Efficiency in Fixed-Point Neural ODE Solvers
Hopkins, M. & Furber, S., 2015, In: Neural Computation. 27, p. 2148-2182 34 p.Research output: Contribution to journal › Article › peer-review
DOI: 10.1162/NECO_a_00772 - Published
ACOTES project: Advanced compiler technologies for embedded streaming
Munk, H., Ayguadé, E., Bastoul, C., Carpenter, P., Chamski, Z., Cohen, A., Cornero, M., Dumont, P., Duranton, M., Fellahi, M., Ferrer, R., Ladelsky, R., Lindwer, M., Martorell, X., Miranda, C., Nuzman, D., Ornstein, A., Pop, A., Pop, S., Pouchet, L. N. & 7 others, , Jun 2011, In: International Journal of Parallel Programming. 39, 3, p. 397-450 53 p.Research output: Contribution to journal › Article › peer-review
- Published
ALEA: A fine-grained energy profiling tool
Mukhanov, L., Petoumenos, P., Wang, Z., Parasyris, N., Nikolopoulos, D. S., De Supinski, B. R. & Leather, H., 1 Mar 2017, In: ACM Transactions on Architecture and Code Optimization. 14, 1, 1.Research output: Contribution to journal › Article › peer-review
DOI: 10.1145/3050436 - Published
Algebraic approach to time borrowing
Broomhead, D., Furber, S. & Johnson, M., 2013, In: IET Computers and Digital Techniques. 7, 1, p. 1-10 9 p.Research output: Contribution to journal › Article › peer-review
- Published
Alpha and theta rhythm abnormality in Alzheimer's Disease: a study using a computational model
Bhattacharya, B. S., Coyle, D. & Maguire, L. P., 2011, In: Advances in Experimental Medicine and Biology. 718, p. 57-73 17 p.Research output: Contribution to journal › Article › peer-review
- Published
AMULET 1: An asynchronous ARM microprocessor
Woods, J. V., Day, P., Furber, S. B., Garside, J. D., Paver, N. C. & Temple, S., 1997, In: IEEE Transactions on Computers. 46, 4, p. 385-398 14 p.Research output: Contribution to journal › Article › peer-review
DOI: 10.1109/12.588033 - Published
AMULET2e: An asynchronous embedded controller
Furber, S. B., Garside, J. D., Riocreux, P., Temple, S., Day, P., Liu, J. & Paver, N. C., 1999, In: Institute of Electrical and Electronics Engineers. Proceedings . 87, 2, p. 243-256 14 p.Research output: Contribution to journal › Article › peer-review
- Published
An asynchronous copy-back cache architecture
Hormdee, D., Garside, J. D. & Furber, S. B., 3 Nov 2003, In: Microprocessors and Microsystems. 27, 10, p. 485-500 15 p.Research output: Contribution to journal › Article › peer-review
- Published
An asynchronous ternary logic signaling system
Felicijian, T. & Furber, S. B., Dec 2003, In: IEEE Transactions on Very Large Scale Integration (VLSI) Systems. 11, 6, p. 1114-1119 5 p.Research output: Contribution to journal › Article › peer-review
- E-pub ahead of print
An effective, secure and efficient tagging method for integrity protection of outsourced data in a public cloud storage
Almarwani, R., Zhang, N. & Garside, J., 5 Nov 2020, In: PLoS ONE. 15, 11, p. e0241236Research output: Contribution to journal › Article › peer-review
- Published
An estimate of the number of tropical tree species
Slik, J. W. F., Arroyo-rodríguez, V., Aiba, S., Alvarez-loayza, P., Alves, L. F., Ashton, P., Balvanera, P., Bastian, M. L., Bellingham, P. J., Van Den Berg, E., Bernacci, L., Da Conceição Bispo, P., Blanc, L., Böhning-gaese, K., Boeckx, P., Bongers, F., Boyle, B., Bradford, M., Brearley, F. Q., Breuer-ndoundou Hockemba, M. & 28 others, , 16 Jun 2015, In: Proceedings of the National Academy of Sciences. 112, 24, p. 7472-7477Research output: Contribution to journal › Article › peer-review
- Published
An integrated modelling framework for neural circuits with multiple neuromodulators
Joshi, A., Youssofzadeh, V., Vemana, V., McGinnity, T. M., Prasad, G. & Wong-Lin, K. F., 2017, In: Journal of the Royal Society Interface. 14, 126, 20160902.Research output: Contribution to journal › Article › peer-review
- Published
An optimal single-path routing algorithm in the Datacenter Network DPillar
Erikson, A., E. Kiasari, A., Navaridas Palma, J. & Stewart, I. A., 1 Mar 2017, In: IEEE Transactions on Parallel and Distributed Systems. 28, 3, p. 689 - 703 15 p.Research output: Contribution to journal › Article › peer-review
- Published
Analysis of Software and Hardware-Accelerated Approaches to the Simulation of Unconventional Interconnection Networks
Ghasempour, M., Heathcote, J., Navaridas, J., Plana, L. A., Garside, J. & Luján, M., 13 Mar 2020, In: Simulation Modelling Practice and Theory. 103, p. 1-19Research output: Contribution to journal › Article › peer-review
- Published
Analytical Iterative Multi-Step Interval Forecasts of Wind Generation based on TLGP
Yan, J., Li, K., Bai, E., Zhao, X., Xue, Y. & Foley, A. M., 2018, In: IEEE Transactions on Sustainable Energy.Research output: Contribution to journal › Article › peer-review
- Published
Applying asynchronous techniques to a vtterbi decoder design
Brackenbury, L. E. M., Cumpstey, M., Furber, S. B. & Riocreux, P. A., 19 Jan 2001, In: IEE Colloquium (Digest). 42, p. 7-11 5 p.Research output: Contribution to journal › Article › peer-review
- Published
ARM tries multiprocessors for faster consumer devices
Goodacre, J., 2004, In: Electronics Systems and Software. 2, 3, p. 46Research output: Contribution to journal › Article › peer-review
- Published
Asynchronous embedded control
Furber, S. B., Garside, J. D., Temple, S., Day, P. & Paver, N. C., 1998, In: Integrated Computer-Aided Engineering. 5, 1, p. 57-68 12 p.Research output: Contribution to journal › Article › peer-review
- Published
Automatic extraction of coarse-grained data-flow threads from imperative programs
Li, F., Pop, A. & Cohen, A., 2012, In: IEEE Micro. 32, 4, p. 19-31 12 p., 6216345.Research output: Contribution to journal › Article › peer-review
DOI: 10.1109/MM.2012.49 - Published
Behavioral Learning in a Cognitive Neuromorphic Robot: An Integrative Approach
Rast, A. D., Adams, S. V., Davidson, S., Davies, S., Hopkins, M., Rowley, A., Stokes, A. B., Wennekers, T., Furber, S. & Cangelosi, A., 2 Dec 2018, In: IEEE Transactions on NEural Networks and Learning Systems. 29, 12, p. 6132-6144 13 p.Research output: Contribution to journal › Article › peer-review
- Published
Benchmarking Spike-Based Visual Recognition: a Dataset and Evaluation
Liu, Q., Pineda garcia, G., Stromatias, E., Serrano-Gotarredona, T. & Furber, S., 2 Nov 2016, In: Frontiers in Neuroscience. 10, 18 p., 496.Research output: Contribution to journal › Article › peer-review
- Published
Biologically inspired means for rank-order encoding images: a quantitative analysis
Sen Bhattacharya, B. & Furber, S. B., Jul 2010, In: IEEE Transactions on Neural Networks. 21, 7, p. 1087-1099 13 p., 5484611.Research output: Contribution to journal › Article › peer-review
- Published
Brain-Inspired Computing
Furber, S. B., 2015, In: I E T Computers and Digital Techniques.Research output: Contribution to journal › Article › peer-review
- Published
Breaking the millisecond barrier on SpiNNaker: implementing asynchronous event-based plastic models with microsecond resolution
Lagorce, X., Stromatias, E., Galluppi, F., Plana, L. A., Liu, S-C., Furber, SB. & Benosman, RB., 8 Jun 2015, In: Frontiers in Neuroscience. 9, 206Research output: Contribution to journal › Article › peer-review
- Published
Building a spiking neural network model of the Basal Ganglia on SpiNNaker
Sen Bhattacharya, B., James, S., Rhodes, O., Sugiarto, I., Rowley, A., Stokes, A., Gurney, K. & Furber, S., 8 Mar 2018, In: IEEE Transactions on Cognitive and Developmental Systems. p. 1-14 14 p.Research output: Contribution to journal › Article › peer-review
- Published
Causal Role of Thalamic Interneurons in Brain State Transitions: A Study Using a Neural Mass Model Implementing Synaptic Kinetics
Bhattacharya, B. S., Bond, T. P., O'Hare, L., Turner, D. & Durrant, S. J., 16 Nov 2016, In: Frontiers in Computational Neuroscience. 10, p. 115Research output: Contribution to journal › Article › peer-review
- Published
Chain: A delay-insensitive chip area interconnect
Bainbridge, J. & Furber, S., Sep 2002, In: IEEE Micro. 22, 5, p. 16-23 7 p.Research output: Contribution to journal › Article › peer-review
- Accepted/In press
Characteristics of Reversed Propagations Generated using Time Reversal Mirrors and Instantaneous Time Mirrors in Electromagnetics
Wu, C., Nobre, N. M., Fort, E., Riley, G. & Costen, F., 9 Oct 2020, (Accepted/In press) In: URSI Radio Science Letters.Research output: Contribution to journal › Article › peer-review
- Published
Classification and regression of spatio-temporal signals using NeuCube and its realization on SpiNNaker neuromorphic hardware
Behrenbeck, J., Tayeb, Z., Bhiri, C., Richter, C., Rhodes, O., Kasabov, N., Espinosa-Ramos, J., Furber, S., Cheng, G. & Conradt, J., 4 Feb 2019, In: Journal of Neural Engineering. 16, 2, 026014.Research output: Contribution to journal › Article › peer-review
- E-pub ahead of print
Collaborative Heterogeneity-Aware OS Scheduler for Asymmetric Multicore Processors
Yu, T., Zhong, R., Janjic, V., Petoumenos, P., Zhai, J., Leather, H. & Thomson, J., 1 May 2021, In: IEEE Transactions on Parallel and Distributed Systems. 32, 5, p. 1224-1237Research output: Contribution to journal › Article › peer-review
- Published
Compiler/Run-Time Framework for Dynamic Data-Flow Parallelization of Tiled Programs
Kong, M., Cohen, A., Govindarajan, R., Pop, A., Pouchet, L-N. & Sadayappan, P., Nov 2014, In: ACM Transactions on Architecture and Code Optimization.Research output: Contribution to journal › Article › peer-review
DOI: 10.1145/2687652 - Published
Concurrent heterogeneous neural model simulation on real-time neuromimetic hardware
Rast, A., Galluppi, F., Davies, S., Plana, L. A., Patterson, C., Sharp, T., Lester, D. & Furber, S., Nov 2011, In: Neural Networks. 24, 9, p. 961-978 17 p.Research output: Contribution to journal › Article › peer-review
- Published
Contactless Heterogeneous 3-D ICs for Smart Sensing Systems
Papistas, I. & Pavlidis, V., 2018, In: Integration, the VLSI Journal. 62, 12 p.Research output: Contribution to journal › Article › peer-review
- Published
Creating, documenting and sharing network models
Crook, S. M., Bednar, J. A., Berger, S., Cannon, R., Davison, A. P., Djurfeldt, M., Eppler, J., Kriener, B., Furber, S., Graham, B., Plesser, H. E., Schwabe, L., Smith, L., Steuber, V. & Van Albada, S., 2012, In: Network: Computation in Neural Systems. 23, 4, p. 131-149 18 p.Research output: Contribution to journal › Article › peer-review
- Published
Cross-Language Interoperability in a Multi-Language Runtime
Grimmer, M., Schatz, R., Seaton, C., Würthinger, T. & Luján, M., 18 Jun 2018, In: ACM Transactions on Programming Languages and Systems. 40, 2, 8.Research output: Contribution to journal › Article › peer-review
DOI: 10.1145/3201898 - Published
Current status of cranial stereotactic raDiosurgery in the UK
Dimitriadis, A., Kirkby, K. J., Nisbet, A. & Clark, C. H., 2015, In: British Journal of Radiology. 89, 1058, 20150452.Research output: Contribution to journal › Article › peer-review
DOI: 10.1259/bjr.20150452 - Published
Design and analysis of a self-timed duplex communication system
Yakovlev, A., Furber, S., Krenz, R. & Bystrov, A., Jul 2004, In: IEEE Transactions on Computers. 53, 7, p. 798-814 16 p.Research output: Contribution to journal › Article › peer-review
DOI: 10.1109/TC.2004.26 - Published
Design for testability of an asynchronous adder
Petlin, O. A., Farnsworth, C. & Furber, S. B., 1996, In: IEE Colloquium (Digest). 40Research output: Contribution to journal › Article › peer-review
- Published
Design Tools for Implementing Self-Aware and Fault-Tolerant Systems on FPGAs
Beckhoff, C., Koch, D. & Torresen, J., 1 Jun 2014, In: A C M Transactions on Reconfigurable Technology and Systems. 7, 2, p. 1-23 22 p.Research output: Contribution to journal › Article › peer-review
DOI: 10.1145/2617597 - Published
Designing asynchronous sequential circuits for random pattern testability
Petlin, O. A., Furber, S. B., Romankevich, A. M. & Groll, V. V., Jul 1995, In: IEE Proceedings: Computers and Digital Techniques. 142, 4, p. 299-305 7 p.Research output: Contribution to journal › Article › peer-review
- Published
Designing robust asynchronous circuit components
Mohammadi, S., Furber, S. & Garside, J., Jun 2003, In: IEE Proceedings: Circuits, Devices and Systems. 150, 3, p. 161-166 5 p.Research output: Contribution to journal › Article › peer-review
- Published
Dynamic Power Management for Neuromorphic Many-Core Systems
Hoppner, S., Vogginger, B., Yan, Y., Dixius, A., Scholze, S., Partzsch, J., Neumärker, F., Hartmann, S., Schiefer, S., Ellguth, G., Cederstroem, L., Plana, L. A., Garside, J., Furber, S. & Mayr, C., 2019, In: IEEE Transactions on Circuits and Systems I: Regular Papers. 66, 8, p. 2973-2986 14 p.Research output: Contribution to journal › Article › peer-review
- Published
Effects of Reducing VMs Management Times on Elastic Applications
Pascual, J. A., Lozano, J. A. & Miguel-Alonso, J., 2018, In: Journal of Grid Computing.Research output: Contribution to journal › Article › peer-review
- Published
Efficient Modeling of Crosstalk Noise On Power Distribution Networks for Contactless 3-D ICs
Papistas, I. & Pavlidis, V., 23 Jan 2018, In: IEEE Transactions on Circuits and Systems I: Regular Papers. 12 p.Research output: Contribution to journal › Article › peer-review
- Published
Efficient Reward-Based Structural Plasticity on a SpiNNaker 2 Prototype
Yan, Y., Kappel, D., Neumaerker, F., Partzsch, J., Vogginger, B., Hoeppner, S., Furber, S., Maass, W., Legenstein, R. & Mayr, C., 2019, In: IEEE Transactions on Biomedical Circuits and Systems. 13, 3, p. 579-591 13 p.Research output: Contribution to journal › Article › peer-review
- Published
Enabling Dynamic System Integration on Maxeler HLS Platforms
Kritikakis, C. & Koch, D., 1 Sep 2020, In: Journal of Signal Processing Systems. 92, 9, p. 887-905Research output: Contribution to journal › Article › peer-review
- Published
Enabling Shared Memory Communication in Networks of MPSoCs
Lant, J., Concatto, C., Attwood, A., Pascual Saiz, J., Ashworth, M., Navaridas, J., Luján, M. & Goodacre, A., 2018, In: Concurrency and Computation: Practice and Experience.Research output: Contribution to journal › Article › peer-review
DOI: 10.1002/cpe.4774 - Published
Energy Efficient Flash ADC with PVT Variability Compensation through Advanced Body Biasing
Mroszczyk, P., Goodacre, J. & Pavlidis, V., 9 Jan 2019, In: IEEE Transactions on Circuits and Systems II: Express Briefs.Research output: Contribution to journal › Article › peer-review
- Published
Energy-Efficient Time-Based Adaptive Encoding for Off-Chip Communication
Maragkoudaki, E. & Pavlidis, V., 31 Aug 2020, In: IEEE Transactions on Very Large Scale Integration (VLSI) Systems. 28, 12, p. 2551-2562 12 p., 9180343.Research output: Contribution to journal › Article › peer-review
- Published
Engineering a thalamo-cortico-thalamic circuit on SpiNNaker: a preliminary study toward modeling sleep and wakefulness
Bhattacharya, B. S., Patterson, C., Galluppi, F., Durrant, S. J. & Furber, S., 20 May 2014, In: Frontiers in Neural Circuits. 8, 46, p. 46 46.Research output: Contribution to journal › Article › peer-review
- Published
Event-driven configuration of a neural network CMP system over an homogeneous interconnect fabric
Khan, M. M., Rast, A., Navaridas Palma, J., Jin, X., Plana, L. A., Lujan, M., Temple, S., Patterson, C., Richards, D., Woods, J. V., Miguel-Alonso, J. & Furber, S., Aug 2011, In: Parallel Computing. 37, 8, p. 392-409 18 p.Research output: Contribution to journal › Article › peer-review
- Published
Exploiting Parallelism and Vectorisation in Breadth-First Search for the Intel Xeon Phi
Paredes Lopez, M., Riley, G. & Luján, M., 2019, In: I E E E Transactions on Parallel and Distributed Systems.Research output: Contribution to journal › Article › peer-review
- Accepted/In press
Fabrication Cost Analysis for Contactless 3-D ICs
Papistas, I., Velenis, D. & Pavlidis, V., 25 Mar 2019, (Accepted/In press) In: IEEE Transactions on Circuits and Systems II: Express Briefs.Research output: Contribution to journal › Article › peer-review
- Published
Fast Predictive Handshaking in Synchronous FPGAs for Fully Asynchronous Multi-Symbol Chip Links. Application to SpiNNaker 2-of-7 Links
Yousefzadeh, A., Plana, L. A., Temple, S., Serrano-Gotarredona, T., Furber, S. & Linares-Barranco, B., 18 Feb 2016, In: IEEE Transactions on Circuits and Systems. Part 2: Express Briefs. 63, 8, p. 763-767 5 p.Research output: Contribution to journal › Article › peer-review
- Published
FastPath_MP: Low Overhead & Energy Efficient FPGA-based Storage Multi-Paths
Stratikopoulos, A., Kotselidis, C., Goodacre, J. & Luján, M., 26 Nov 2020, In: ACM Transactions on Architecture and Code Optimization. 17, 4, 37.Research output: Contribution to journal › Article › peer-review
DOI: 10.1145/3423134 - Published
First steps in porting the LFRic Weather and Climate model to the FPGAs of the EuroExa architecture
Ashworth, M., Riley, G., Attwood, A. & Mawer, J., 13 Oct 2019, In: Scientific Programming.Research output: Contribution to journal › Article › peer-review
DOI: 10.1155/2019/7807860 - Published
FOS: A Modular FPGA Operating System for Dynamic Workloads
Vaishnav, A., Pham, K., Powell, J. & Koch, D., Oct 2020, In: ACM Transactions on Reconfigurable Technology and Systems. 13, 4, 28 p., 20.Research output: Contribution to journal › Article › peer-review
- Published
Four-phase micropipeline latch control circuits
Furber, S. B. & Day, P., 1996, In: IEEE Transactions on Very Large Scale Integration (VLSI) Systems. 4, 2, p. 247-253 6 p.Research output: Contribution to journal › Article › peer-review
DOI: 10.1109/92.502196 - Published
FPGADefender: Malicious Self-Oscillator Scanning for Xilinx UltraScale+ FPGAs
La, T., Mätas, K., Grunchevski, N., Pham, K. & Koch, D., 2 Sep 2020, In: ACM Transactions on Reconfigurable Technology and Systems. 13, 3, 31 p., 3402937.Research output: Contribution to journal › Article › peer-review
DOI: 10.1145/3402937 - Published
Fuse: Accurate Multiplexing of Hardware Performance Counters Across Executions
Neill, R., Drebes, A. & Pop, A., Dec 2017, In: ACM Transactions on Architecture and Code Optimization. 14, 4, 43.Research output: Contribution to journal › Article › peer-review
DOI: 10.1145/3148054 - Published
Genome-wide association analyses of risk tolerance and risky behaviors in over 1 million individuals identify hundreds of loci and shared genetic influences
23and Me Research Team & Pendleton, N., 2019, In: Nature Genetics.Research output: Contribution to journal › Article › peer-review
- Published
Handling Physical-Layer Deadlock Caused by Permanent Faults in Quasi-Delay-Insensitive Networks-on-Chip
Zhang, G., Song, W., Garside, J., Navaridas, J. & Wang, Z., Nov 2017, In: IEEE Transactions on Very Large Scale Integration (VLSI) Systems. p. 3152-3165 14 p.Research output: Contribution to journal › Article › peer-review
- Published
Identifying Energy Holes in Randomly Deployed Hierarchical Wireless Sensor Networks
Naureen, A., Zhang, N. & Furber, S., 2017, In: IEEE Access. 5Research output: Contribution to journal › Article › peer-review
- Published
Implementing the cellular mechanisms of synaptic transmission in a neural mass model of the thalamo-cortical circuitry
Bhattacharya, B. S., 2013, In: Frontiers in Computational Neuroscience. 7, p. 81Research output: Contribution to journal › Article › peer-review
- Published
Improved Routing Algorithms in the Dual-port Datacenter Networks HCN and BCN
Erickson, A., Stewart, I. A., Pascual Saiz, J. & Navaridas, J., Oct 2017, In: Future Generation Computer Systems. 75, p. 58-71 14 p.Research output: Contribution to journal › Article › peer-review
- Published
INRFlow: An Interconnection Networks Research Flow-level Simulation Framework
Pascual, J. A., Erikson, A., Navaridas, J., Stewart, I. A. & Luján, M., 2019, In: Journal of Parallel and Distributed Computing.Research output: Contribution to journal › Article › peer-review
- Published
Interconnection system for the SpiNNaker biologically inspired multi-computer
Dugan, K., Reeve, J., Brown, A. & Furber, S., 2013, In: IET Computers and Digital Techniques. 7, 3, p. 115-121 7 p.Research output: Contribution to journal › Article › peer-review
- Published
Interfacing real-time spiking I/O with the SpiNNaker neuromimetic architecture
Davies, S., Patterson, C., Galluppi, F., Rast, A., Lester, D. & Furber, S., 2010, In: Australian Journal of Intelligent Information Processing Systems. 11, 1, p. 7-11 5 p.Research output: Contribution to journal › Article › peer-review
- Published
Interfacing Real-Time Spiking I/O with the SpiNNaker neuromimetic architecture
Davies, S., Patterson, C., Galluppi, F., Rast, A., Lester, D. & Furber, S., 2010, In: Australian Journal of Intelligent Information Processing Systems. 11, 1, p. 7-11 5 p.Research output: Contribution to journal › Article › peer-review
- Published
Large-Scale Neuromorphic Computing Systems
Furber, S., 16 Aug 2016, In: Journal of Neural Engineering.Research output: Contribution to journal › Article › peer-review
- Published
Large-scale simulations of plastic neural networks on neuromorphic hardware
Knight, J. C., Tully, P. J., Kaplan, B. A., Lansner, A. & Furber, S., 7 Apr 2016, In: Frontiers in Neuroanatomy. 10, 37, 37.Research output: Contribution to journal › Article › peer-review
- Published
Low latency network and distributed storage for next generation HPC systems: The ExaNeSt project
Ammendola, R., Biagioni, A., Cretaro, P., Frezza, O., Lo Cicero, F., Lonardo, A., Martinelli, M., Paolucci, P. S., Pastorelli, E., Pisani, F., Simula, F., Vicini, P., Navaridas, J., Chaix, F., Chrysos, N., Katevenis, M. & Papaeustathiou, V., 23 Nov 2017, In: Journal of Physics: Conference Series. 898, 8, 082045.Research output: Contribution to journal › Article › peer-review
- Published
MaCACH: An adaptive cache-aware hybrid FTL mapping scheme using feedback control for efficient page-mapped space management
Boukhobza, J., Olivier, P., Rubini, S., Lemarchand, L., Hadjadj-aoul, Y. & Laga, A., 27 Mar 2015, In: Journal of Systems Architecture. 61, 3-4, p. 157-171Research output: Contribution to journal › Article › peer-review
- Published
Managing burstiness and scalability in event-driven models on the spinnaker neuromimetic system
Rast, A., Navaridas Palma, J., Jin, X., Galluppi, F., Plana, L. A., Miguel-Alonso, J., Patterson, C., Lujan, M. & Furber, S., Dec 2012, In: International Journal of Parallel Programming. 40, 6, p. 553-582 30 p.Research output: Contribution to journal › Article › peer-review
- Published
Many cores save power
Goodacre, J., 2011, In: New Electronics. 44, 14, p. 32-34 3 p.Research output: Contribution to journal › Article › peer-review
- Published
MARBLE: An asynchronous on-chip macrocell bus
Bainbridge, W. J. & Furber, S. B., 1 Aug 2000, In: Microprocessors and Microsystems. 24, 4, p. 213-222 10 p.Research output: Contribution to journal › Article › peer-review
- Published
Measurement of synovial tissue volume in knee osteoarthritis using a semiautomated MRI-based quantitative approach.
Perry, T., Gait, A., O'Neill, T., Parkes, M., Hodgson, R., Callaghan, M. J., Arden, N. K., Felson, D. & Cootes, T., 2019, In: Magn Reson Med. 81, 5, p. 3056-3064Research output: Contribution to journal › Article › peer-review
DOI: 10.1002/mrm.27633 - Published
Memory-Efficient Deep Learning on a SpiNNaker 2 Prototype
Liu, C., Bellec, G., Vogginger, B., Kappel, D., Partzsch, J., Neumärker, F., Höppner, S., Maass, W., Furber, S. B., Legenstein, R. & Mayr, C. G., 16 Nov 2018, In: Frontiers in Neuroscience. 12, NOV, 00840.Research output: Contribution to journal › Article › peer-review
- Published
Microprocessors and Microsystems: Editorial
Furber, S., Oct 2003, In: Microprocessors and Microsystems. 27, 9, p. 407-408 1 p.Research output: Contribution to journal › Article › peer-review
- Published
Microprocessors: the engines of the digital age
Furber, S., 15 Mar 2017, In: Royal Society of London. Proceedings A. Mathematical, Physical and Engineering Sciences.Research output: Contribution to journal › Article › peer-review
- Published
Mighty Multicore
Goodacre, J. & Pitcher, G., Oct 2007, In: News Electronics. p. 29-30Research output: Contribution to journal › Article › peer-review
- Published
Miniaturized SIW Bandpass Filter Based on TSV Technology for THz Applications
Wang, F., Pavlidis, V. & Yu, N., 2020, In: IEEE Transactions on Terahertz Science and Technology.Research output: Contribution to journal › Article › peer-review
- Published
Modeling and analysis of the performance of exascale photonic networks
Duro, J., Pascual, J. A., Petit, S., Sahuquillo, J. & Gómez, M. E., 2018, In: Concurrency and Computation: Practice and Experience. p. e4773Research output: Contribution to journal › Article › peer-review
DOI: 10.1002/cpe.4773 - Published
Modeling spiking neural networks on SpiNNaker
Jin, X., Luján, M., Plana, L. A., Davies, S., Temple, S. & Furber, S. B., Sep 2010, In: Computing in Science and Engineering. 12, 5, p. 91-97 6 p., 5562477.Research output: Contribution to journal › Article › peer-review
- Published
Modelling normal and impaired letter recognition: Implications for understanding pure alexic reading
Chang, Y. N., Furber, S. & Welbourne, S., Oct 2012, In: NEUROPSYCHOLOGIA. 50, 12, p. 2773-2788 15 p.Research output: Contribution to journal › Article › peer-review
- Published
Multicore processing for automotive applications
Goodacre, J., Dec 2007, In: ATZ Elektronik Worldwide . 2, 4, p. 16-18 2 p.Research output: Contribution to journal › Article › peer-review
DOI: 10.1007/BF03242141 - Published
Multiprocessing design choices: multi-processor or multi-threading technology
Goodacre, J., 2006, In: Electronic Design and Application. 8, 34 p.Research output: Contribution to journal › Article › peer-review
- Published
Multiprocessing: The race continues
Goodacre, J., Oct 2005, In: Electronic Product Design. 26, 10, p. 9-10Research output: Contribution to journal › Article › peer-review
- Published
Nanoscale room-temperature multilayer skyrmionic synapse for deep spiking neural networks
Chen, R., Li, C., Li, Y., Miles, J., Indiveri, G., Furber, S., Pavlidis, V. & Moutafis, C., 30 Jul 2020, In: Physical Review Applied.Research output: Contribution to journal › Article › peer-review
- Published
Navigating the Landscape for Real-time Localisation and Mapping for Robotics, Virtual and Augmented Reality
Nisbet, A., Mawer, J., Palomar Perez, O., Gorgovan, C., Webb, A., Clarkson, J., Riley, G., Kotselidis, C-E., Luján, M. & Furber, S., Nov 2018, In: IEEE Proceedings . 106, 11Research output: Contribution to journal › Article › peer-review
- Published
Network properties of a computational model of the dorsal raphe nucleus
Wong-Lin, K., Joshi, A., Prasad, G. & McGinnity, T. M., 2012, In: Neural Networks. 32, p. 15-25 11 p.Research output: Contribution to journal › Article › peer-review
- Published
Neural Circuit Interactions between the Dorsal Raphe Nucleus and the Lateral Hypothalamus: An Experimental and Computational Study
Jalewa, J., Joshi, A., McGinnity, T. M., Prasad, G., Wong-Lin, K. & Hölscher, C., 2014, In: PLoS ONE. 9, 2Research output: Contribution to journal › Article › peer-review
- Published
Neural systems engineering
Furber, S. & Temple, S., 22 Apr 2007, In: Journal of the Royal Society Interface. 4, 13, p. 193-206 13 p.Research output: Contribution to journal › Article › peer-review
- Published
Neuromodulated Synaptic Plasticity on the SpiNNaker Neuromorphic System
Mikaitis, M., Pineda García, G., Knight, J. C. & Furber, S., 27 Feb 2018, In: Frontiers in Neuroscience. 12Research output: Contribution to journal › Article › peer-review
- Published
Next generation of Exascale-class systems: ExaNeSt project and the status of its interconnect and storage development
Katevenis, M., Ammendola, R., Biagioni, A., Cretaro, P., Frezza, O., Lo Cicero, F., Lonardo, A., Martinelli, M., Paolucci, P. S., Pastorelli, E., Simula, F., Vicini, P., Taffoni, G., Pascual, J. A., Navaridas, J., Luján, M., Goodacre, J., Lietzow, B., Mouzakitis, A., Chrysos, N. & 8 others, , 1 Sep 2018, In: Microprocessors and Microsystems. 61, p. 58-71 14 p.Research output: Contribution to journal › Article › peer-review
- Published
Nonlinear Origin of SSVEP Spectra—A Combined Experimental and Modeling Study
Labecki, M., Kus, R., Brzozowska, A., Stacewicz, T., Sen Bhattacharya, B. & Suffczynski, P., 27 Dec 2016, In: Frontiers in Computational Neuroscience. 10, 129.Research output: Contribution to journal › Article › peer-review
- Published
On Multiple AER Handshaking Channels Over High-Speed Bit-Serial Bidirectional LVDS Links With Flow-Control and Clock-Correction on Commercial FPGAs for Scalable Neuromorphic Systems
Yousefzadeh, A., Jabłoński, M., Iakymchuk, T., Linares-Barranco, A., Rosado, A., Plana, L. A., Temple, S., Serrano-Gotarredona, T., Furber, S. & Linares-Barranco, B., Oct 2017, In: IEEE Transactions on Biomedical Circuits and Systems. 11, 5, p. 1-15Research output: Contribution to journal › Article › peer-review
- Published
On the Effects of Allocation Strategies for Exascale Computing Systems with Distributed Storage and Unified Interconnects
Pascual Saiz, J., Lant, J., Concatto, C., Attwood, A., Navaridas, J., Luján, M. & Goodacre, A., 2018, In: Concurrency and Computation: Practice and Experience.Research output: Contribution to journal › Article › peer-review
DOI: 10.1002/cpe.4784 - Accepted/In press
On the Routing and Scalability of MZI-based Optical Beneš Interconnects
Kynigos, M., Pascual Saiz, J., Navaridas, J., Luján, M. & Goodacre, J., 10 Oct 2020, (Accepted/In press) In: Nano Communication Networks.Research output: Contribution to journal › Article › peer-review
- Published
On-chip timing reference for self-timed microprocessor
Temple, S. & Furber, S. B., 25 May 2000, In: Electronics Letters. 36, 11, p. 942-943 2 p.Research output: Contribution to journal › Article › peer-review
- Published
OpenStream: Expressiveness and data-flow compilation of OpenMP streaming programs
Pop, A. & Cohen, A., Jan 2013, In: Transactions on Architecture and Code Optimization. 9, 4, 53.Research output: Contribution to journal › Article › peer-review
- Published
Optimal design of interval type 2 fuzzy controllers based on a simple tuning algorithm
Cortes-Rios, J. C., Gomez-Ramirez, E., Ortiz-De-La-Vega, H. A., Castillo, O. & Melin, P., 1 Jan 2014, In: Applied Soft Computing. 23, p. 270-285 16 p.Research output: Contribution to journal › Article › peer-review
- Published
Optimizing Indirect Branches in Dynamic Binary Translators
d'Antras, A., Gorgovan, C., Garside, J. & Luján, M., Apr 2016, In: ACM Transactions on Architecture and Code Optimization. 13, 1, 25 p., 7.Research output: Contribution to journal › Article › peer-review
DOI: 10.1145/2866573